From 2a057c1e3c0040ca04425bc4035a1fadeaa6c225 Mon Sep 17 00:00:00 2001 From: Your Name Date: Sat, 18 Jul 2020 13:59:38 -0400 Subject: [PATCH] wkfl --- Lattice_Icestorm/code/test2/build.sh | 18 + Lattice_Icestorm/code/test2/counter.v | 41 + Lattice_Icestorm/code/test2/counter.vhd | 27 + Lattice_Icestorm/code/test2/counter_slow.v | 41 + Lattice_Icestorm/code/test2/counter_slow.vhd | 27 + Lattice_Icestorm/code/test2/icestick.pcf | 25 + Lattice_Icestorm/code/test2/icestick.pcf_OLD | 25 + .../code/test2/icestick.pcf_OTHER | 8 + .../code/test2/txtbin/counter.bin | Bin 0 -> 32220 bytes .../code/test2/txtbin/counter.blif | 54 + .../code/test2/txtbin/counter.txt | 4259 ++++++++++++++++ .../code/test2/txtbin/counter_slow.bin | Bin 0 -> 32220 bytes .../code/test2/txtbin/counter_slow.blif | 207 + .../code/test2/txtbin/counter_slow.txt | 4371 +++++++++++++++++ Lattice_Icestorm/code/test2/vhd2vl | 1 + Lattice_Icestorm/code/test2/vhd2vl_bin | Bin 0 -> 190376 bytes 16 files changed, 9104 insertions(+) create mode 100755 Lattice_Icestorm/code/test2/build.sh create mode 100644 Lattice_Icestorm/code/test2/counter.v create mode 100644 Lattice_Icestorm/code/test2/counter.vhd create mode 100644 Lattice_Icestorm/code/test2/counter_slow.v create mode 100644 Lattice_Icestorm/code/test2/counter_slow.vhd create mode 100644 Lattice_Icestorm/code/test2/icestick.pcf create mode 100644 Lattice_Icestorm/code/test2/icestick.pcf_OLD create mode 100644 Lattice_Icestorm/code/test2/icestick.pcf_OTHER create mode 100644 Lattice_Icestorm/code/test2/txtbin/counter.bin create mode 100644 Lattice_Icestorm/code/test2/txtbin/counter.blif create mode 100644 Lattice_Icestorm/code/test2/txtbin/counter.txt create mode 100644 Lattice_Icestorm/code/test2/txtbin/counter_slow.bin create mode 100644 Lattice_Icestorm/code/test2/txtbin/counter_slow.blif create mode 100644 Lattice_Icestorm/code/test2/txtbin/counter_slow.txt create mode 160000 Lattice_Icestorm/code/test2/vhd2vl create mode 100755 Lattice_Icestorm/code/test2/vhd2vl_bin diff --git a/Lattice_Icestorm/code/test2/build.sh b/Lattice_Icestorm/code/test2/build.sh new file mode 100755 index 0000000..3ee7804 --- /dev/null +++ b/Lattice_Icestorm/code/test2/build.sh @@ -0,0 +1,18 @@ +#!/bin/bash -x +#adapted from https://github.com/leedowthwaite/HelloIce +#changes: separate folder for dev files +#simplified bash script +#added vhdl2verilog per: https://github.com/4ilo/Ice40-vhdl-example + +mkdir txtbin +echo convert vhdl to verilog + ./vhd2vl_bin $1.vhd $1.v +echo Using yosys to synthesize design + yosys -p "synth_ice40 -blif txtbin/$1.blif" ./$1.v +echo Place and route with arachne-pnr + arachne-pnr -d 1k -p icestick.pcf txtbin/$1.blif -o txtbin/$1.txt +echo Converting ASCII output to bitstream + icepack txtbin/$1.txt txtbin/$1.bin +echo Sending bitstream to device + iceprog ${ICEPROG_ARGS} txtbin/$1.bin + diff --git a/Lattice_Icestorm/code/test2/counter.v b/Lattice_Icestorm/code/test2/counter.v new file mode 100644 index 0000000..33336b8 --- /dev/null +++ b/Lattice_Icestorm/code/test2/counter.v @@ -0,0 +1,41 @@ +// File counter.vhd translated with vhd2vl v3.0 VHDL to Verilog RTL translator +// vhd2vl settings: +// * Verilog Module Declaration Style: 2001 + +// vhd2vl is Free (libre) Software: +// Copyright (C) 2001 Vincenzo Liguori - Ocean Logic Pty Ltd +// http://www.ocean-logic.com +// Modifications Copyright (C) 2006 Mark Gonzales - PMC Sierra Inc +// Modifications (C) 2010 Shankar Giri +// Modifications Copyright (C) 2002-2017 Larry Doolittle +// http://doolittle.icarus.com/~larry/vhd2vl/ +// Modifications (C) 2017 Rodrigo A. Melo +// +// vhd2vl comes with ABSOLUTELY NO WARRANTY. Always check the resulting +// Verilog for correctness, ideally with a formal verification tool. +// +// You are welcome to redistribute vhd2vl under certain conditions. +// See the license (GPLv2) file included with the source for details. + +// The result of translation follows. Its copyright status should be +// considered unchanged from the original VHDL. + +// no timescale needed + +module counter( +input wire CLK_IN, +output wire [3:0] RLED +); + + + + +reg [3:0] pres_count; wire [3:0] next_count; + + assign RLED = pres_count; + always @(posedge CLK_IN) begin + pres_count <= pres_count + 1; + end + + +endmodule diff --git a/Lattice_Icestorm/code/test2/counter.vhd b/Lattice_Icestorm/code/test2/counter.vhd new file mode 100644 index 0000000..6988cad --- /dev/null +++ b/Lattice_Icestorm/code/test2/counter.vhd @@ -0,0 +1,27 @@ +library ieee ; +use ieee.std_logic_1164.all ; +use ieee.numeric_std.all ; + +entity counter is + port ( + CLK_IN: in std_logic; + RLED: out std_logic_vector(3 downto 0) + ); +end counter ; + +architecture behav of counter is + + signal pres_count, next_count: std_logic_vector(3 downto 0); + +begin + + RLED <= pres_count; + + sync_count: process(CLK_IN) + begin + if(rising_edge(CLK_IN)) then + pres_count <= pres_count + 1; + end if; + end process sync_count; + +end architecture; diff --git a/Lattice_Icestorm/code/test2/counter_slow.v b/Lattice_Icestorm/code/test2/counter_slow.v new file mode 100644 index 0000000..6e80f48 --- /dev/null +++ b/Lattice_Icestorm/code/test2/counter_slow.v @@ -0,0 +1,41 @@ +// File counter_slow.vhd translated with vhd2vl v3.0 VHDL to Verilog RTL translator +// vhd2vl settings: +// * Verilog Module Declaration Style: 2001 + +// vhd2vl is Free (libre) Software: +// Copyright (C) 2001 Vincenzo Liguori - Ocean Logic Pty Ltd +// http://www.ocean-logic.com +// Modifications Copyright (C) 2006 Mark Gonzales - PMC Sierra Inc +// Modifications (C) 2010 Shankar Giri +// Modifications Copyright (C) 2002-2017 Larry Doolittle +// http://doolittle.icarus.com/~larry/vhd2vl/ +// Modifications (C) 2017 Rodrigo A. Melo +// +// vhd2vl comes with ABSOLUTELY NO WARRANTY. Always check the resulting +// Verilog for correctness, ideally with a formal verification tool. +// +// You are welcome to redistribute vhd2vl under certain conditions. +// See the license (GPLv2) file included with the source for details. + +// The result of translation follows. Its copyright status should be +// considered unchanged from the original VHDL. + +// no timescale needed + +module counter( +input wire CLK_IN, +output wire [3:0] RLED +); + + + + +reg [24:0] pres_count; wire [24:0] next_count; + + assign RLED = pres_count[20:17]; + always @(posedge CLK_IN) begin + pres_count <= pres_count + 1; + end + + +endmodule diff --git a/Lattice_Icestorm/code/test2/counter_slow.vhd b/Lattice_Icestorm/code/test2/counter_slow.vhd new file mode 100644 index 0000000..943f9f1 --- /dev/null +++ b/Lattice_Icestorm/code/test2/counter_slow.vhd @@ -0,0 +1,27 @@ +library ieee ; +use ieee.std_logic_1164.all ; +use ieee.numeric_std.all ; + +entity counter is + port ( + CLK_IN: in std_logic; + RLED: out std_logic_vector(3 downto 0) + ); +end counter ; + +architecture behav of counter is + + signal pres_count, next_count: std_logic_vector(24 downto 0); + +begin + + RLED <= pres_count(20 downto 17); + + sync_count: process(CLK_IN) + begin + if(rising_edge(CLK_IN)) then + pres_count <= pres_count + 1; + end if; + end process sync_count; + +end architecture; diff --git a/Lattice_Icestorm/code/test2/icestick.pcf b/Lattice_Icestorm/code/test2/icestick.pcf new file mode 100644 index 0000000..4c0ab8d --- /dev/null +++ b/Lattice_Icestorm/code/test2/icestick.pcf @@ -0,0 +1,25 @@ +set_io CLK_IN 21 +set_io J3_10 44 +set_io J3_9 45 +set_io J3_8 47 +set_io J3_7 48 +set_io J3_6 56 +set_io J3_5 60 +set_io J3_4 61 +set_io J3_3 62 +set_io GLED5 95 +set_io RLED[3] 96 +set_io RLED[2] 97 +set_io RLED[1] 98 +set_io RLED[0] 99 +set_io IR_TX 105 +set_io IR_RX 106 +set_io IR_SD 107 +set_io J1_3 112 +set_io J1_4 113 +set_io J1_5 114 +set_io J1_6 115 +set_io J1_7 116 +set_io J1_8 117 +set_io J1_9 118 +set_io J1_10 119 diff --git a/Lattice_Icestorm/code/test2/icestick.pcf_OLD b/Lattice_Icestorm/code/test2/icestick.pcf_OLD new file mode 100644 index 0000000..4c0ab8d --- /dev/null +++ b/Lattice_Icestorm/code/test2/icestick.pcf_OLD @@ -0,0 +1,25 @@ +set_io CLK_IN 21 +set_io J3_10 44 +set_io J3_9 45 +set_io J3_8 47 +set_io J3_7 48 +set_io J3_6 56 +set_io J3_5 60 +set_io J3_4 61 +set_io J3_3 62 +set_io GLED5 95 +set_io RLED[3] 96 +set_io RLED[2] 97 +set_io RLED[1] 98 +set_io RLED[0] 99 +set_io IR_TX 105 +set_io IR_RX 106 +set_io IR_SD 107 +set_io J1_3 112 +set_io J1_4 113 +set_io J1_5 114 +set_io J1_6 115 +set_io J1_7 116 +set_io J1_8 117 +set_io J1_9 118 +set_io J1_10 119 diff --git a/Lattice_Icestorm/code/test2/icestick.pcf_OTHER b/Lattice_Icestorm/code/test2/icestick.pcf_OTHER new file mode 100644 index 0000000..eb526e1 --- /dev/null +++ b/Lattice_Icestorm/code/test2/icestick.pcf_OTHER @@ -0,0 +1,8 @@ +set_io clk 21 +set_io up 44 +set_io rst 45 + +set_io count_out[0] 99 #PIO3_1A +set_io count_out[1] 98 #PIO3_1B +set_io count_out[2] 97 #PIO3_2A +set_io count_out[3] 96 #PIO3_2B diff --git a/Lattice_Icestorm/code/test2/txtbin/counter.bin b/Lattice_Icestorm/code/test2/txtbin/counter.bin new file mode 100644 index 0000000000000000000000000000000000000000..decb83e462a0062efd4a3fd1445acd29e427a60a GIT binary patch literal 32220 zcmeI4zi!(w5XO&^YGg3ls8dHFLzgV>kfA_<5-8B6Q@74RpCITQ5PpIpTer-8iabCb zqYuzGNU1+j1j=@jf1ZcfK%;lLw-Q>)*ewzmj-yL)E4Daz#J4L?sD9 zNBt<;)t|N(Szm_jwtL$1bCTIKxpYP!D%E7<$bu$F6*)Y(&qe@w$%Zzlunao8`{c+= zcZN{#PXKx8pXm}5c@{k^Or<^`r@Gg1c)4Slv!RF}#g^`yF3<_K#rvgGy!Qr$r2O9OZ0_FmW7>w&7eR&o z8a+n#g4AlWBbUrSh|jc`{8TIV4^_8b_AlFp9wun@*67tQ-;BGCwI^h~q)l4XgR)Eu zB~QJyWmMS?jCP!I_56}PUXdzkt7~k~|Kj7i5ZHqN2)Gj1l0q49g$R2Ph?_tuupfv! zg76*$JP^Qszym}`fI!>?$_)E~xFZPfLBIn6><2tRgaio0O`y!NABa1G@E!y_5Ws%G R14KxGK->h*E`Ep={R3fdE;|4K literal 0 HcmV?d00001 diff --git a/Lattice_Icestorm/code/test2/txtbin/counter.blif b/Lattice_Icestorm/code/test2/txtbin/counter.blif new file mode 100644 index 0000000..38bf3fc --- /dev/null +++ b/Lattice_Icestorm/code/test2/txtbin/counter.blif @@ -0,0 +1,54 @@ +# Generated by Yosys 0.9+2406 (git sha1 eed05953, clang 3.8.1-24 -fPIC -Os) + +.model counter +.inputs CLK_IN +.outputs RLED[0] RLED[1] RLED[2] RLED[3] +.names $false +.names $true +1 +.names $undef +.gate SB_CARRY CI=pres_count[0] CO=pres_count_SB_CARRY_CI_CO[2] I0=$false I1=pres_count[1] +.attr src "./counter.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[2] CO=pres_count_SB_CARRY_CI_CO[3] I0=$false I1=pres_count[2] +.attr src "./counter.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[3] Q=pres_count[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[2] Q=pres_count[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[1] Q=pres_count[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[0] Q=pres_count[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[1] I3=pres_count[0] O=pres_count_SB_DFF_Q_D[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[3] I3=pres_count_SB_CARRY_CI_CO[3] O=pres_count_SB_DFF_Q_D[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[2] I3=pres_count_SB_CARRY_CI_CO[2] O=pres_count_SB_DFF_Q_D[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=pres_count[0] O=pres_count_SB_DFF_Q_D[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.names $false pres_count_SB_CARRY_CI_CO[0] +1 1 +.names pres_count[0] pres_count_SB_CARRY_CI_CO[1] +1 1 +.names pres_count[0] RLED[0] +1 1 +.names pres_count[1] RLED[1] +1 1 +.names pres_count[2] RLED[2] +1 1 +.names pres_count[3] RLED[3] +1 1 +.end diff --git a/Lattice_Icestorm/code/test2/txtbin/counter.txt b/Lattice_Icestorm/code/test2/txtbin/counter.txt new file mode 100644 index 0000000..baee911 --- /dev/null +++ b/Lattice_Icestorm/code/test2/txtbin/counter.txt @@ -0,0 +1,4259 @@ +.comment arachne-pnr 0.1+328+0 (git sha1 c40fb22, g++ 6.3.0-18+deb9u1 -O2) +.device 1k +.io_tile 1 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 0 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +100000000000000000 +000000000000000000 +100000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 8 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 8 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 8 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 8 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 9 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 9 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 10 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 10 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 11 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 11 +000000000000000000000110000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000001010001100110101000000 +000000000000000000000000000000001001110011000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 11 +000000000000000000000110010001100000000000001000000000 +000000000000000111000010000000100000000000000000001000 +001000000000000011000110000000000001000000001000000000 +001000000000000000100000000000001000000000000000000000 +000000000000000000000000000000001000001100111100000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000110000000001000001100110100000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011010000011110100100000 +000000000000000000000000000000010000000011110000000000 +.io_tile 13 11 +000000000000000010 +000100000000000000 +000000000000000000 +000000000000000001 +000000000000100010 +000000000000110000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000010011000100010 +000010011000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 12 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 12 +000010000000000010 +000011110000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +000101010000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000010010 +000000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 1 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.sym 836 CLK_IN$2 +.sym 17827 pres_count[1] +.sym 20024 $false +.sym 20025 $false +.sym 20026 pres_count[1] +.sym 20027 pres_count[0] +.sym 20052 $true +.sym 20053 CLK_IN$2 +.sym 20054 $false +.sym 23815 pres_count[2] +.sym 23816 pres_count[3] +.sym 23820 pres_count[0] +.sym 26242 $true +.sym 26279 pres_count[0]$2 +.sym 26280 $false +.sym 26281 pres_count[0] +.sym 26282 $false +.sym 26283 $false +.sym 26285 pres_count_SB_CARRY_CI_CO[2] +.sym 26287 $false +.sym 26288 pres_count[1] +.sym 26291 pres_count_SB_CARRY_CI_CO[3] +.sym 26292 $false +.sym 26293 $false +.sym 26294 pres_count[2] +.sym 26295 pres_count_SB_CARRY_CI_CO[2] +.sym 26298 $false +.sym 26299 $false +.sym 26300 pres_count[3] +.sym 26301 pres_count_SB_CARRY_CI_CO[3] +.sym 26322 $false +.sym 26323 $false +.sym 26324 $false +.sym 26325 pres_count[0] +.sym 26326 $true +.sym 26327 CLK_IN$2 +.sym 26328 $false +.sym 27519 pres_count[3] +.sym 27522 pres_count[2] +.sym 27549 pres_count[1] +.sym 27552 pres_count[0] diff --git a/Lattice_Icestorm/code/test2/txtbin/counter_slow.bin b/Lattice_Icestorm/code/test2/txtbin/counter_slow.bin new file mode 100644 index 0000000000000000000000000000000000000000..facc0887ca79fcedef13f079b337d7d261143bee GIT binary patch literal 32220 zcmeHPJ&w~r82$XSA;lJPM`^JVqF9Nb&>*dZMN7pERuKn4dw`V{E)dai1uBjJ9Z1U^ zsHnNY5<7omCF^XM0zL&{lHrjiU``a&{Z{JC9_DRxt@b*TQ3z0;E zKnDGY*V#|jFIn-Tw%6Te&pOJ`jLskQ|FT-vbICe2NZNDp7``(F=u2nPc1oOeI=lVk z^rgLqWN2Rj`m%jZPk}Kzg&-_qpf4@N<4|V`(3j4n?UXp{bawm6=}UVJht4oX1u!@y zi%`yf;KCnm$2o4B(2Oq$c)DIHrk<#)Z8JI7Xx-_$!TYDl^c>T71^8*+_X_$MKYi(} zuA9#cXZ&6h)0bYCx3|gBm(EJZ_0mFG`qDx?4t1sged$bEu9p_l(w7$Eai}u|=u2nP zwz6I(;m}XlH-Fwpi#n-ok-X@V1`bwf)V2j>8490p1va|l15OFqz;o?|pSDLuCchM* zFa4^gk`32OMGT{6YJ?_uKnifZ^tRYsFPoM&Z91@vaak9W4t7qim)@4XZoM4Iqqg(D zZj~^U=VvJ4-?~gu5*3%K7xnGSU-kF*cW00HZ4X)#xyYb2GKs-+)5$oTf5Ut^iBo9u ztM3qO1)41(WGDudUm;47tDka>KvS7*euk_?uP8@aPzjh#F-v1A|;hV2s9j>xqLW578&0*|uEFWJy2^f>)nam_J)rspaVaSj3n21*D+kG#i^ zjx{I`nvQq4P@)%LQsLbaL`JVL;553MU`RnJ3}}XaB`#Ck7i-fC@kgEF|YL04YTf74TMpB;bC)+u|}YDu61${Q#;Iaa6!t z1(J~a0dI@T#HawO0QUo^Qp8aKZxu))?gzXrE)$~yr~=#%ph^)(1-w<@$)DfBNd5s0 CZ%KLp literal 0 HcmV?d00001 diff --git a/Lattice_Icestorm/code/test2/txtbin/counter_slow.blif b/Lattice_Icestorm/code/test2/txtbin/counter_slow.blif new file mode 100644 index 0000000..3e0af10 --- /dev/null +++ b/Lattice_Icestorm/code/test2/txtbin/counter_slow.blif @@ -0,0 +1,207 @@ +# Generated by Yosys 0.9+2406 (git sha1 eed05953, clang 3.8.1-24 -fPIC -Os) + +.model counter +.inputs CLK_IN +.outputs RLED[0] RLED[1] RLED[2] RLED[3] +.names $false +.names $true +1 +.names $undef +.gate SB_CARRY CI=pres_count[0] CO=pres_count_SB_CARRY_CI_CO[2] I0=$false I1=pres_count[1] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[9] CO=pres_count_SB_CARRY_CI_CO[10] I0=$false I1=pres_count[9] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[8] CO=pres_count_SB_CARRY_CI_CO[9] I0=$false I1=pres_count[8] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[17] CO=pres_count_SB_CARRY_CI_CO[18] I0=$false I1=pres_count[17] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[16] CO=pres_count_SB_CARRY_CI_CO[17] I0=$false I1=pres_count[16] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[15] CO=pres_count_SB_CARRY_CI_CO[16] I0=$false I1=pres_count[15] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[14] CO=pres_count_SB_CARRY_CI_CO[15] I0=$false I1=pres_count[14] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[13] CO=pres_count_SB_CARRY_CI_CO[14] I0=$false I1=pres_count[13] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[12] CO=pres_count_SB_CARRY_CI_CO[13] I0=$false I1=pres_count[12] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[11] CO=pres_count_SB_CARRY_CI_CO[12] I0=$false I1=pres_count[11] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[10] CO=pres_count_SB_CARRY_CI_CO[11] I0=$false I1=pres_count[10] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[7] CO=pres_count_SB_CARRY_CI_CO[8] I0=$false I1=pres_count[7] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[6] CO=pres_count_SB_CARRY_CI_CO[7] I0=$false I1=pres_count[6] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[5] CO=pres_count_SB_CARRY_CI_CO[6] I0=$false I1=pres_count[5] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[4] CO=pres_count_SB_CARRY_CI_CO[5] I0=$false I1=pres_count[4] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[3] CO=pres_count_SB_CARRY_CI_CO[4] I0=$false I1=pres_count[3] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[2] CO=pres_count_SB_CARRY_CI_CO[3] I0=$false I1=pres_count[2] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[19] CO=pres_count_SB_CARRY_CI_CO[20] I0=$false I1=pres_count[19] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[18] CO=pres_count_SB_CARRY_CI_CO[19] I0=$false I1=pres_count[18] +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[20] Q=pres_count[20] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[19] Q=pres_count[19] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[10] Q=pres_count[10] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[9] Q=pres_count[9] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[8] Q=pres_count[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[7] Q=pres_count[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[6] Q=pres_count[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[5] Q=pres_count[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[4] Q=pres_count[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[3] Q=pres_count[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[2] Q=pres_count[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[1] Q=pres_count[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[18] Q=pres_count[18] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[0] Q=pres_count[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[17] Q=pres_count[17] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[16] Q=pres_count[16] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[15] Q=pres_count[15] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[14] Q=pres_count[14] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[13] Q=pres_count[13] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[12] Q=pres_count[12] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[11] Q=pres_count[11] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[10] I3=pres_count_SB_CARRY_CI_CO[10] O=pres_count_SB_DFF_Q_D[10] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[9] I3=pres_count_SB_CARRY_CI_CO[9] O=pres_count_SB_DFF_Q_D[9] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[1] I3=pres_count[0] O=pres_count_SB_DFF_Q_D[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[19] I3=pres_count_SB_CARRY_CI_CO[19] O=pres_count_SB_DFF_Q_D[19] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[18] I3=pres_count_SB_CARRY_CI_CO[18] O=pres_count_SB_DFF_Q_D[18] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[17] I3=pres_count_SB_CARRY_CI_CO[17] O=pres_count_SB_DFF_Q_D[17] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[16] I3=pres_count_SB_CARRY_CI_CO[16] O=pres_count_SB_DFF_Q_D[16] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[15] I3=pres_count_SB_CARRY_CI_CO[15] O=pres_count_SB_DFF_Q_D[15] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[14] I3=pres_count_SB_CARRY_CI_CO[14] O=pres_count_SB_DFF_Q_D[14] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[13] I3=pres_count_SB_CARRY_CI_CO[13] O=pres_count_SB_DFF_Q_D[13] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[12] I3=pres_count_SB_CARRY_CI_CO[12] O=pres_count_SB_DFF_Q_D[12] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[11] I3=pres_count_SB_CARRY_CI_CO[11] O=pres_count_SB_DFF_Q_D[11] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[8] I3=pres_count_SB_CARRY_CI_CO[8] O=pres_count_SB_DFF_Q_D[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[7] I3=pres_count_SB_CARRY_CI_CO[7] O=pres_count_SB_DFF_Q_D[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[6] I3=pres_count_SB_CARRY_CI_CO[6] O=pres_count_SB_DFF_Q_D[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[5] I3=pres_count_SB_CARRY_CI_CO[5] O=pres_count_SB_DFF_Q_D[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[4] I3=pres_count_SB_CARRY_CI_CO[4] O=pres_count_SB_DFF_Q_D[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[3] I3=pres_count_SB_CARRY_CI_CO[3] O=pres_count_SB_DFF_Q_D[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[2] I3=pres_count_SB_CARRY_CI_CO[2] O=pres_count_SB_DFF_Q_D[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=pres_count[20] I3=pres_count_SB_CARRY_CI_CO[20] O=pres_count_SB_DFF_Q_D[20] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=pres_count[0] O=pres_count_SB_DFF_Q_D[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.names $false pres_count_SB_CARRY_CI_CO[0] +1 1 +.names pres_count[0] pres_count_SB_CARRY_CI_CO[1] +1 1 +.names pres_count[17] RLED[0] +1 1 +.names pres_count[18] RLED[1] +1 1 +.names pres_count[19] RLED[2] +1 1 +.names pres_count[20] RLED[3] +1 1 +.end diff --git a/Lattice_Icestorm/code/test2/txtbin/counter_slow.txt b/Lattice_Icestorm/code/test2/txtbin/counter_slow.txt new file mode 100644 index 0000000..3ae5843 --- /dev/null +++ b/Lattice_Icestorm/code/test2/txtbin/counter_slow.txt @@ -0,0 +1,4371 @@ +.comment arachne-pnr 0.1+328+0 (git sha1 c40fb22, g++ 6.3.0-18+deb9u1 -O2) +.device 1k +.io_tile 1 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 0 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001010000011110100000000 +000000000000000000000000000000010000000011110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001011001100110100000000 +000000000000000000000000000000011000110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000001000 +001100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +010011010000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 8 +000000000000000000000010110001000000000000001000000000 +000000000000000000000010000000100000000000000000001000 +111000000000001101000110010000000001000000001000000000 +000000000000000001000010000000001000000000000000000000 +000000000000000000000000000000001000001100111100000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001000001100111100000000 +000000000000000000000000000000001101110011000000000000 +000000000000000000000110000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000001100000000000001001001100111100000000 +000000000000000000000000000000001100110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001001110011000000000000 +.io_tile 13 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 9 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 9 +000000000000001001100110010000001000001100111100000000 +000000000000000001000010000000001000110011000000010000 +111000000000001001100110010000001000001100111100000000 +000000000000000001000010000000001000110011000000000000 +000000000000000000000000000000001000001100111100000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001000001100111100000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001001110011000000000000 +.io_tile 13 9 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 10 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 10 +000000000000001001100110010000001000001100111100000000 +000000000000000001000010000000001000110011000000010000 +111000000000001000000000000000001000001100111100000000 +000000000000000001000000000000001000110011000000000100 +000000000000000000000000000000001000001100111100000100 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001000001100111100000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001001001100110100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 10 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 11 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 11 +000000000000000010 +000100000000000000 +000001010000000000 +000000000000000001 +000000000000010010 +000001010000010000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 12 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 12 +000010000000000010 +000011010000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000010000000100010 +000010110000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 1 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.sym 7 CLK_IN$2$2 +.sym 830 CLK_IN$2 +.sym 836 CLK_IN$2 +.sym 23324 pres_count[0] +.sym 23326 pres_count[1] +.sym 23446 pres_count[2] +.sym 23447 pres_count[3] +.sym 23448 pres_count[4] +.sym 23449 pres_count[5] +.sym 23450 pres_count[6] +.sym 23451 pres_count[7] +.sym 23567 pres_count[8] +.sym 23568 pres_count[9] +.sym 23569 pres_count[10] +.sym 23570 pres_count[11] +.sym 23571 pres_count[12] +.sym 23572 pres_count[13] +.sym 23573 pres_count[14] +.sym 23574 pres_count[15] +.sym 23690 pres_count[16] +.sym 23691 pres_count[17] +.sym 23692 pres_count[18] +.sym 23693 pres_count[19] +.sym 23694 pres_count[20] +.sym 25678 $false +.sym 25679 $false +.sym 25680 $false +.sym 25681 pres_count[0] +.sym 25690 $false +.sym 25691 $false +.sym 25692 pres_count[1] +.sym 25693 pres_count[0] +.sym 25706 $true +.sym 25707 CLK_IN$2$2 +.sym 25708 $false +.sym 25777 $true +.sym 25814 pres_count[0]$2 +.sym 25815 $false +.sym 25816 pres_count[0] +.sym 25817 $false +.sym 25818 $false +.sym 25820 pres_count_SB_CARRY_CI_CO[2] +.sym 25822 $false +.sym 25823 pres_count[1] +.sym 25826 pres_count_SB_CARRY_CI_CO[3] +.sym 25827 $false +.sym 25828 $false +.sym 25829 pres_count[2] +.sym 25830 pres_count_SB_CARRY_CI_CO[2] +.sym 25832 pres_count_SB_CARRY_CI_CO[4] +.sym 25833 $false +.sym 25834 $false +.sym 25835 pres_count[3] +.sym 25836 pres_count_SB_CARRY_CI_CO[3] +.sym 25838 pres_count_SB_CARRY_CI_CO[5] +.sym 25839 $false +.sym 25840 $false +.sym 25841 pres_count[4] +.sym 25842 pres_count_SB_CARRY_CI_CO[4] +.sym 25844 pres_count_SB_CARRY_CI_CO[6] +.sym 25845 $false +.sym 25846 $false +.sym 25847 pres_count[5] +.sym 25848 pres_count_SB_CARRY_CI_CO[5] +.sym 25850 pres_count_SB_CARRY_CI_CO[7] +.sym 25851 $false +.sym 25852 $false +.sym 25853 pres_count[6] +.sym 25854 pres_count_SB_CARRY_CI_CO[6] +.sym 25856 pres_count_SB_CARRY_CI_CO[8] +.sym 25857 $false +.sym 25858 $false +.sym 25859 pres_count[7] +.sym 25860 pres_count_SB_CARRY_CI_CO[7] +.sym 25861 $true +.sym 25862 CLK_IN$2$2 +.sym 25863 $false +.sym 25932 pres_count_SB_CARRY_CI_CO[8] +.sym 25969 pres_count_SB_CARRY_CI_CO[9] +.sym 25970 $false +.sym 25971 $false +.sym 25972 pres_count[8] +.sym 25973 pres_count_SB_CARRY_CI_CO[8] +.sym 25975 pres_count_SB_CARRY_CI_CO[10] +.sym 25976 $false +.sym 25977 $false +.sym 25978 pres_count[9] +.sym 25979 pres_count_SB_CARRY_CI_CO[9] +.sym 25981 pres_count_SB_CARRY_CI_CO[11] +.sym 25982 $false +.sym 25983 $false +.sym 25984 pres_count[10] +.sym 25985 pres_count_SB_CARRY_CI_CO[10] +.sym 25987 pres_count_SB_CARRY_CI_CO[12] +.sym 25988 $false +.sym 25989 $false +.sym 25990 pres_count[11] +.sym 25991 pres_count_SB_CARRY_CI_CO[11] +.sym 25993 pres_count_SB_CARRY_CI_CO[13] +.sym 25994 $false +.sym 25995 $false +.sym 25996 pres_count[12] +.sym 25997 pres_count_SB_CARRY_CI_CO[12] +.sym 25999 pres_count_SB_CARRY_CI_CO[14] +.sym 26000 $false +.sym 26001 $false +.sym 26002 pres_count[13] +.sym 26003 pres_count_SB_CARRY_CI_CO[13] +.sym 26005 pres_count_SB_CARRY_CI_CO[15] +.sym 26006 $false +.sym 26007 $false +.sym 26008 pres_count[14] +.sym 26009 pres_count_SB_CARRY_CI_CO[14] +.sym 26011 pres_count_SB_CARRY_CI_CO[16] +.sym 26012 $false +.sym 26013 $false +.sym 26014 pres_count[15] +.sym 26015 pres_count_SB_CARRY_CI_CO[15] +.sym 26016 $true +.sym 26017 CLK_IN$2$2 +.sym 26018 $false +.sym 26087 pres_count_SB_CARRY_CI_CO[16] +.sym 26124 pres_count_SB_CARRY_CI_CO[17] +.sym 26125 $false +.sym 26126 $false +.sym 26127 pres_count[16] +.sym 26128 pres_count_SB_CARRY_CI_CO[16] +.sym 26130 pres_count_SB_CARRY_CI_CO[18] +.sym 26131 $false +.sym 26132 $false +.sym 26133 pres_count[17] +.sym 26134 pres_count_SB_CARRY_CI_CO[17] +.sym 26136 pres_count_SB_CARRY_CI_CO[19] +.sym 26137 $false +.sym 26138 $false +.sym 26139 pres_count[18] +.sym 26140 pres_count_SB_CARRY_CI_CO[18] +.sym 26142 pres_count_SB_CARRY_CI_CO[20] +.sym 26143 $false +.sym 26144 $false +.sym 26145 pres_count[19] +.sym 26146 pres_count_SB_CARRY_CI_CO[19] +.sym 26149 $false +.sym 26150 $false +.sym 26151 pres_count[20] +.sym 26152 pres_count_SB_CARRY_CI_CO[20] +.sym 26171 $true +.sym 26172 CLK_IN$2$2 +.sym 26173 $false +.sym 27519 pres_count[20] +.sym 27522 pres_count[19] +.sym 27549 pres_count[18] +.sym 27552 pres_count[17] diff --git a/Lattice_Icestorm/code/test2/vhd2vl b/Lattice_Icestorm/code/test2/vhd2vl new file mode 160000 index 0000000..37e3143 --- /dev/null +++ b/Lattice_Icestorm/code/test2/vhd2vl @@ -0,0 +1 @@ +Subproject commit 37e3143395ce4e7d2f2e301e12a538caf52b983c diff --git a/Lattice_Icestorm/code/test2/vhd2vl_bin b/Lattice_Icestorm/code/test2/vhd2vl_bin new file mode 100755 index 0000000000000000000000000000000000000000..ed14d46f0484bedc347df6f2cb0c67aa751d27c6 GIT binary patch literal 190376 zcmc${d0-Sp7C+t-Mu<2vBSwfAHR>oJsEI})0&c)?sDQ(fa444?2IMlC0aV0h{w#EeqlDuag8QOH0>I)VgS$wF|V?$RW&q(oulV z3CQ!~X@zGb((ZFA(tHR*ws;UU{oIUPJS?w;Bs^uNPfJ-SpELl{I%uL^!pTwXY@b%U zNInfn^C4fXgHHiSx=$|Bd|X)MyXchCjy}zO!eE$>3m*nJ(2x2deN?Xv2RxC#y(r6v z<=MVVW=_B9l9^L3nmK)TY{A7vb1uGA!dRB@fkX4~TegEV)A6uu3LcOV@C#0Gi~$#q zOj`Cwr@sb{On;;6>W_x9-528_4I!(r)`jUy@Z56OBMbj^-M5v9Hv74l$m*v(!W$FP z=|~3?(u9A7$Nf14kx?z+6)q4V@dvhmzu5x*K#Mf_b$>|z{1)Z=yFi4b-ys2hF49-E zfG4P~z)w!VXZcPo@CUa@SGP#7ZjoM)KrbDzb6UW^Z;`&UMf&oD^5myoi}ZUf@JA-3 zDW9P&@Gnn*lU`|y^r05%e{N%w3^PxaRr^MbRnK zXHSR~O`W0@MGGg-y-l0CV0u(5np-%1c66FHXKr-*>?zs=;1y1Z&DG{kEi9Z?v# zD$9b?NrgAFBnjL+HHr*WF#Be0TH(|=b5ZNG>8N{-Hf?_4^ypOPPMQMRvYitqOkOZ) z!nEnLC(WFG`&1zrkYLLSNqoWtN)1AogyzkfgvL&jf+(7;2!I@mjT|xo^-sNddQo(0 z;m9FZgMq0dC*3p?un9NMnloFGn4nF#cHV^H3h(Nf6kL%ke$2uH2Vc|g>Is)#oO$tO zniuzC8B_tw8 zD%9?j{pnX>vI+w#45=_hg{dk`Q(?La!z%2e!tN@}P+^t|d#bRv3UgGLtHMDl9IV2K z3P-ANv3FD%`2UT`JtI!a5c1QK7BEy((-_;eHi1 zs_?K1HTaes&wdpqt1zI#kP1^&n5x1w6{f2&timoT?5@HL6=tchrwV(kFh_;CDjcN3 z!77ZXaHI-HtMEn@PE_F(6&9#)rV8h(Fsi}@DqN_-VilIEaH$HHsc^XpSE%qI6+WiI zCsp{23ZGZuiz<9sg|DixLWLVtSgFD-D%`5V-FL};d8Sx~bt<$~*r38j6>23CFQmds zly8m6WD7$$-CG&9)wFjR!ot@w#M);k!!%9X#qb zhVV&J7{WPEW!M_)7KUv!EuA40D$EcwOBaT4Ub-_3Xj%rtc34v}3~E|WhM0JIGlV0R z!w`N?E<-G)1~J5fVK76ijUo&?V$H=cRntZ@JRSZ%LpZ?`8J?kOQy88JKaAm7aF-aK z4WEi(x~4@L!qHy9Fsx|{8J@3c#SA;c*J9XJ)0Q&4P}7z%?1ptB!|s~4g5gD)HbUQ< zY+sLtmsI`jR-b0-wefhotTK9nJsi^4w^^pi|K zDbf>}exB*&B0YuamzgdWX|h&O!Sq~_p2>72(-TFStQKr#IwI0hrfZqb5oxksu#4#o zkzUAj9nY_X$?clsT1ktOmkW1)Qa>9rbA3u ziuAiEG;MkO=~@xm9CRg{yUp=wd86{7g=yxxi9ojQslab@@o4^jg*y}G3laRT&J8DN z#=>y2wJ!atc-%Z48LgNRu+~k?j>i!T0n}NSCXBBu{^zl8*uB_`V>DF&U5x08on7(ZcL)d;%^#;K(0RBW5*ds8gEwr@NhvCo18Yy7~6L0gRY z2>UUCws#iMk}ZAoc%WJOC%`V41~kO$M9C@WyAk$mfxXei9+ZGRQ)2%ju&)62wB`I~ z4G#PDJq__&59b-*p@W8P6qTPZ2*m`URMThluQU7ljsCk)9Ry(X-%|q?HEr5*eQ#h! zz+QVe9@n>o$|{YTVCla>!R)^W5To~8Yk)6eKj?ykrGEv`O35$=CR=MsL9g^x=fu;q zYh%CZ)k)QwRzvHp=|=|D4D=Hr_PD9rkg2u44k>H(jRVl(g8B??RDL|RJ07dEr-=&E z^~QK~I*i&kdSg+nzu>_?qwNeWo?(X}O>o%f9hg8v=kSSc=(8P(=o(A!IUK;ffrx$N z4WRJuw?MnFiT_88y zD*q?CFWzH##LjIJDR#~v@48;CgZE_us;_?t8CrC>EM&Ls199#R#CsUk_M$`a_-Iq# z2{g!LE>i5Z1JP8-%G3m7|3q2I*xwMdrZyN2W2my$q{CHa|6Rj}$1^Ow`v_`l!@+ob zjHO4B7$09vnMUm0$deUYdIbRS9tHP-of*)AVW`g12j#KSbmVjEg8A8!4F{bNH_OS} zsQ9H;`R=RH;)s0%BrQ}cSoSfr7Ay=S2X(-%?=Cv+Q&b5IF&zlbOMe2QvsGa48AWVA z`8D+|puLg8LE|T@T00@no&{-U?i+7>jiC@3Iov)MI3-o{X81HqkDMolT*J8thULds z?`DD1PxyWEo*Ta#AYU!ZHt}g7l&|a?bj!PqO%|{iahN3gAyLA{_OYpB8(IU)qs{WUh*{Uh zdzn#0=Cy&2R$22jt1y{{viBOWsf~)*0niZYV~C>Bq1MQrb(xhlewsO0AHUw1Uih=I zn$+so)1D>I@XhesVX0KGXtG>~8l5Qkuhr>1c~U}{RLvcWET z*o=nL?H+8r%la&{oaHV5ax;|uOLQn?b!KpFInXuJoQU5`PccKrwaKPA1qtKYkda{y z2wCNvFAbe}=73})$?Rb0#5T$Y<9Beawp1qxeM`y>)ZaA>1E~)-y z$*9sd@S838HEtH#0e)!^F7((v*<-g?Ml{nLkWy9|>jZ_#w|xhMX!R|A)VSPw^#Y27 zsx;4UfA^b!)qgDKiTWBBo<9OpWx)QKb#6Wlb)qx-n1lQ^IUyFWMjsFISNBD554+kN z4M-XyT1`%OB9v`2Mkhy4GGCz#d-?wpZ7rK?4hor?@raPfJrt63FpR~Nj&XW$ZL1r` zFI`LtRW)lroe~(v%c037Rb|sCgEV6#IMms?y#cd}QIl_D&QTp`jtr+kpK1nl0VyzV zbO19#1$$*Jjkc>9kk0&?0bw$mS&7a~1C`-+F31`Fu)Rp`d!!U7q;(lGj0FL`CTSEH@9pLr1N<=KBf}x1p=Lk| znXu{a8VzD#=qVV0_FZUY{mIJ{e>lE+in^AfF0a=ks@JoYo2^l2UlO)!X;C{^CNQTJqH3uZ_GPpc>qVamb;Lj`&~muoU=LYYOKcz5g=p7Na%FL&buf2x z{Sx+%{UEGTN!1VNR{D?8a7NjIV5z|-egW1^lT^#L1xxQjmSViOIOt$cTK;S^gnqch z9b~J-Aj{KC4Xp|o1^5$c0{c^$oCom=@Rh zk&x^Yqnzz9Bgq&Erx@j8Ea;SOaBY%n9VDAHl+r#3{(1=hmP{7PhVdDO3c{K4&AYXD zVVfhGRd6JBGh%TqHc@J0^)HgMN~2ovY7{^v{lPi`W=sniGX+ufR-lxJV$ zG8XNVxvlKLqISWxDZ!;F$@(T=_WQA4pmf!_m`vos(WJ6FkZ2D2gT(VkoiGp!X?CQ+*%DIdvCn`t z%I^DL(fc)GVkUCa#4aa$na5$oA2<#AjVfNFkM?4e2!CpHww*sTd+vNU!u|{vx}@qt zkbyf8hq~BHMa8K%aGD-ruM_aL0v;k<;EZ%}TIkCN`yPS+*@Px~3w~llB)z;*b~d)F zN@`vQf>>``OGLlV#3)Io58!(*xVFF6``q9IRlPe0AJ|;_VRTk$Wpp~meTFeOoLrww z@t5w1o(tVh#`+9HsI}F-b@3qbKeeu}7#Xi~shFZhMuWCpG7nS$B#qC>#q zHAX}INva(93rgO6R(D_Vq4Q$<(5Wsn_W5L`oUF9!L1ywJ_AmP=C29XaXuEU+Ag`0L z%~$f4F<#2+Mzf5)j0w8*5rk$L`#-qWDnLN-S!HAovF=tG_ZF-*+?KH35L*&&BR>`S zS7GAK#YRP$*q+D@r&whioXE?v%CGs6!eWo^KtUlt+j6ZpbI&{wL$_* z+ek{t3S0h%utLZUcQN~Qhn|*wasn9d7m`h`>DL{_!IWsmrDmZYU6AXmHhxAJFxODX z**^#M-&kmHxVw2Gv}q7xxmK>vmt7rP@)vetzb>S_1S0Pi4G+?f_=2VPumqIB5JSQ~ zcP}``ybG>F=3m&C5E^IVfD^>LTG1I5>053TL%t#%iTa;Wx+l=nfRwIdWvRy21Mb*z zeucHJ=tSJNDo$aru_DCawTkl?yj(Gi!9OdgJ@rpjT+iUq3f{@6Us1u8cfD0Hkip#* zXCiPGLaG%*7%OCqz3CJ%Hh{75jB$1DjAX1AW7jfvHe)%AwPx%J#?E4_J7X6yhTVX8 z#fgliGS-K&c8s0In4huUj2)sVPG_uvu}c{Hp0SG=+s)XejO}3TB*wUJQPGpJjg0kR z>{Z6DVC)6PMlkjyW5XF+$ygp^%NXMza~3mp6=Mq+`wL^U8RG=&aJR6c9b;n|JDITv zV*$nnFqXksZ^kkiyOgmr80&%B{TSPb7;Dn(3lzz|%zh5p&?IjD81-gGzP$kZgRuMt zmRA=cYCYgiL9qIVe~`>v&62ZJ$;=%lJ;LC|zHv+|ovhKd#ebYQf1j0dKXR>+ky;6f zeQ%WsQlW}`7QeV<#c>js6~Bt8Tk(W(s90AOU&)gD>4hl8H|pbS*!USfG@^GrgM$Ja zAD2md=Le#qb*2{Fs5$F7Ai9#oe`J1dmkh>_gq}cXIq}*uW-yk_7*~50=Q0*!tPNwg zFm@qhlNjs5*eJ%*7#o6EW~DQL8GdH;W~@D9mogS+tP5kjCgz;QSdg(4#?E1^En_K+ zX^dUK*a0lkDpDES%UFNLzF~})$(&t`aXZv`pD|i5XA5K97+c3!5@RnTCMVBC5mX#2 z*D70q65N>zmOTgr%2R4fXgKD;G<(4Jn4oK{9HBD@zeA#0b#=z*v6zlhhUeRxk!_8< z>PU6#OR5L>E}=%Z0@dOxPY#xaL9{xlZFTNdan0$7gy(-so91#DT-#*ZI%3(7V9aU4 zUIOT z`!;PqsBgN(sgjsoj55~ejAD{D8xu>bHbymzD04mnA|XHIVp`d@DDr7Yj5UhUbT}ge zfslrEGEPNCWmiSdvDVOq2)^kb0KicQyJFv=9-OA6MI^J**Fc>a!P1==(Pf_pOW#EZ zXuy>ihm*$?0od7ce!?_~8ETNx&~+=IgV0Lsya2c;oLPzKYcPO=(QUc#fP6~>Ng&b- z(3lMZUCfYvd-tSRUx(IZx!EsJR=Ma_U!~D6096R;bWMAmzk)-zn*CDIj$F~IaIloqT;>kUr(KLs>aV1~ zrZ=_?mL_4-(p)nWKtC81Jko0+BvER^3xLwcg|mU?J@t*S zgg7IKy#mTy<9A7f50~(=O2{A_EaM!LSeH=?|3OycJP6V?LNgK3YWiXM24skbUh_c~ zgs#@$;U=q<^^nwJvjcn+=78sbZ&R;p1Ht>25xJkg+MkRw5W}L+V&?d(b78>D*3gOW zNZ~XEH47J{4QGTSNx?E2E+2Iv;7tD=!|xDV1Gl*YBq%+fexYFLbWFo!gY4BCMm_ys z`vtTUP3&S+%Pk5iAS}8D8TG;@r?%?nkJzif7UFX0#pWi;>H-%Sy;8r*AG;7Rx!&}o z9c3+vz8^N`Q3>M=f{K%4^8wM(RuoOOvhKltZ@-YU z3A1doa)&5PHXdRYL|V5RTdmfkUGTJ%y|uG@aWI(=;Km z?{BE`@JHA)V8}|U*1>FHy>01SZSe?*-aW$_h##FDZaQ)pgVyO=y4+A@`f;Aq8&CJ9 zGo4ZSM$HJjgP=JLG>fl1H`)!mlSiU=(h#n_HjWd{(rrrz#bW`Or$(;*IbMzG`maRz zab{(3?NDFw!OqdGi@Fvc>>k}zeDKCtkK#p1H(EoJVylh!v7dp}PP?UnHZWZE+r|2< z_~5y*QvrDChH8HsjYOp#(W`y+A7I}Af*x+)^_9?39781R=NTVh%jDrgtZA#)z(Lmc z4#olXMD%bf#EMQdUwk4RJ#G9b_J{R9jsTPrET!*hYzn^F#+VuI9(;4dfG6*T9OE6) zzc|u`5RE@7@LT)+^RhVXSjEm0ajMm*Hx?RiRiH90c)gunAGAt|36*@EU2MF)-147| zv-1HtljHI1U9rpbKYY=P^+P8_CqPG0amXx{+~lt4Zfwr1wCTY@VFQ2#3eSPkh4d<4 z_7}0&PzX!jB_D&VS-Migk(RF^URObTqHh`OZY;1|3gvD+QHG<^3f!>K1S_DKQn($% zAQk7Ncaw^hqGi|4y8r_qwMK(Z#Hk@#{VP%%D*{MlZnK_P05bKPj1CgI0_XwjiQQNx zu%?R3S>$P%nR1=V?8VG`WoEI9*%g`2JX9m|Uv%>?Vg7jJXI7f2IFGW*<42Ah`TfIl zJ}ddA7(Y?R_z>eeu&F-u`{v?e2s-yeYjhGUcuFw0%J@+GP~X)m^K<76kboM1dKzYq z_spI+@~CgK98&}YSX#NXO*E_b`N6eU`(k^07sc%CAMe~_75dDPkb9$Em1Lg^(`x+U zt6{O)CVhfz-Thc`zLhr$=OH8P6EIhnROMl5#U1(8TUfdNcZdoa7O+;WLM(p8j1zgh zJ9f8FQcOyL`Dx3cU^s)s;YB1beue#|gk=L(g!9m3lxb^s{X!IQ_iEe;RPI-c)0!nP zUD*E}%-a3>p+d`Cg96}zWSLu_5$1_-hAwBbWHV7C2)|3hXuz4EN6Toowr@h+qsNLC+$?Gd z>GCX24qWfN4Tm;0Uz7iiqDJhO^UgG`*x;8v>vPd|Y)d2?t>wv@T?=_6*tdH`;m@#= zf_)Qvg+0AOCy0w&_7fSo@C1O7ekb4Q`PsEjZ zmhvBAw-xlp%KB%d+v_|^mvgw*`+-^8mu8WXp|ge{~mL6wSV87-Y7xuIYBQC zBP5G{$`{~-aZF^{2!f?thaw}xT+hl3KYT+!2D#tThx9`_7k85Mu#5#ve>n31<_QqG zmuo|>KJwK=vNcJu^;7J}c#j#aXZX-rDfV@r;?l%8)YCh2Uwp0~c_DE=kNHvfd7}JV zY}Prd{BN-z$*11aKOa-Ixk68+@%t?Pjl}2Oqn`1(VD2I?7m4?m35U@_HRu}fI4Tr-E8$hn-&feAf*n4#{+Eh31@pPl0m zmVE^qj&pq&HH;KqRhlsikyfnL-het2_y##n%6%0_@1vFk&J)Cz0tl97Trn%5or_w4 zD{kdAuPut&+KbwTvbJX94;1{StE4y;Z1XF&>M>t9lMe%Ro;ZJHmxRBh3PuOP4~3;Q z^OMa1e(PFa_NJoK;Hds#3{75|77~-aB#(YSfg>2lu(S&gd?J{Gd{`Fvtx-6kP}6AZ z226Lf-G_LK{eEuYv*&n`AY_fko`r@ji*pk74fY*2?rP|4aO2e!Noi%w*2Ss(wBg=XmtNkF`KbC0IHiHMxG0OQ+larqir^k7Jat z|MP$HVd)FUdwO2*0tStz>f1jCH_gCwqdeVw1z^!X=#3QnRoL*7DnIrm(Lua{@fRo@ zc4JFTl%b?Y+1sV0vEZ10F8uFhdXDNGWj98l2e6TJE$BkDLO0PvKYmq&rN7AoolbXUxRjY@YclkZU%VT za{ED?^_*}9_JA;ZnZ+2@^u|-c_b=!um@L=PRr4kEmjba@z!;WP?a9T35#^6!YQW9I z-q?djYq3m&tR6*uP}@Pm1i);AGw$d`g(vBaeq7KL zHzlAC7_`4;*F<9id!dV+tl)Ryei052{j67R{k{I7Vj+4Kq-hLD#t78+5IAi!@D1^6UrT6!XwYj{s#N&uGk36{XD!T-UYCU}8(!Whi?h(aFSU1o4H ze?78lohtE( zjky8XB-?_}DSQm(ZR_o;?aJykR^$0L|@$wqbRXi?-(I zTf~vE)7ooq3;O>CH7uOqciK-)#*%l_W*kPqXq|z!StWJgWVrd)1qk9<%k2y)NT_qu zegXP-77JDzC`f(7{cq}|c^!9;OCM)bRyJaC1wk-(C%go61eBLIif|K)d7pwgb3}^F zyGuXhv+kXQHd`yF;dd~1yTrO0C4mCOvK_Im$cq;xN9@N@7P;2SLg2D@vCse|j0dMZ z3W)oN@gg|oQ6P@BR?Y(27}WXOAEI1+wH!N5^QX1)7NE!~Yk}l3X4Su{^!YSgEjZy} zoCra7) zT4KKn`g74F>d!SeY)jOi5ou`cq(t56OO{5YO4+K`Qr_rRx3yD z{;S|e<0hxjwpYc)+Gh9P1hG$WV6E@%LVFKOpObIT1x3v4Xnd+YMNkhIHIcl0`$4Z6 z5o=DX?3s8~=I*D(G!PZW!at)`#PVMiv1hx8!7?3i4BcekPndWzbh}m8Mi9o~h`2!` z?sE%yVY`;h=XE`3`P*UV4^8OeQi=7oMzzWw8BU(pliSpIRiLT5+x`?a9^U3k_%s;( zEK!%Lf_vS#517_s{|O^*31$!YKR8;YvX?&(`;J~rhZH#bb*a%`Mh<_8b0-VPbYKObdG_{EapOc@*oz?jLWKK?lqB1xx2a62XnQ zT{bybdOPCQAkqrn{VeK*GG*!Z`Fi7+`Ry~Yv|HAy4%D*`2Jhpl$?PvKrv*2*X4xv_ zsIp5wBhMQ*v?4F0k-1G#0f&ml2f<}KNdwcszGyq>M85HpeN&C-w%}UUyEl1hYmBcP zjxoDpKkXq@;p_t#Fat2#br(a8_fL;iQ}FJgkTf{GHy@#;FZC?j%`iyu7$%Q0m>+a} z8u*8*pb2Gt1ZoQ-$a!Y0GM6>Z^+0KoN^nVUq%-3V&VHNh)ls#PL`RQA0Jy^5!Y>j# zZO%HX9M^pq*j{`|c7e7{(KV#$P& zfK}7Yg?V~ot5~|p^-yvicAa*-rABhJNU1;VAM_R8B51Vq7r(8Rqe^Yqx%v-!xX5MJ zZ*ct!5}%)JM_uZ%r`W&tPFdU-m|Xu?+98qorpj#N-0sT7?)*KxomE%(#2c_CUz61^ zsf4UyK7;Sb;@WI6762uOerSb3oVN5XwS~HPtDH~lft1bWTR^~EOnjUkpQ_`OT_mjg zS=jV1u0s9xLX;8m`~k+dq^bwX&>t_S|7nRA=P*`sAzxnN$bm-+ZR~A7@+*89_%tSl zC}y@Zq_u$}k1@+dg*$@xmXm_Xy7V7$OI_Y5a~#S)hVm$hIk1ma{*gzvOpxUP z5cjc6M#kI#^vA*NxUm>YQ-Cn$26&6_NdAQ}$7ZTKU*rNV=I8R)JfazbXfs};cPT#0 zMspNEsjc!#QNUk+iY)&$k_14f@Iu_nNDUulU;d|H8>1=UoYidnlt0i6|4E5|g2ES) z5~X+*i~3r==2-Y2!8wFjq+|vDNYrBA1BGkuzsUZ_q=x>_lH&=7jT}=D={yJ?Z^w+X zu@4Y8X(`}O&BE?O>_+GhysX((Qu>wADaaUQt>+-N9;E|i|MC-I0pl(1*Nm`Fh3&#> zG0z?gDI$MVWP~064NEOt*@GqzpzB*RAejk3;Yf@V=aS~UWV}34Rs;s>f3Ra1Rmb4J zv*3UJWs?7q!kG*Uzh=?dXhH-|-!l#91>Vz4=wqIunb%_76z!^KCy4{ISgqL?jl64{ z*;iZ+c#6Eq39|kj;XkZj4&64B%Hn20ax7GTy<*3_D+J@y7q!v0%-f{o68pg%6%ds5 zD{&2A4r}a(3WE1d5m8hUod~|d^$)9gcl|OB+Bp2XvqgP^Zi=FNRukR&X9Za&4RkEM z_xPqwJ$`WwUhMDC3*0B$+!Fke1b-&LUl5$=Pt!j%YR}i=cq6lovAKdBVr(z@x}gCX zC+J)K#@kH3`>sqTA-PH4<}3N;13qTaBgTFIkl?OnAYpeU+d;^j302nY4$iE#) zkFea2>Nb)qpn-y;w33Y+(KI~J`kukk8K_2wYv;4>7LLg|Oe9%%3)dtC7BS1Zo9>Bx z?`L1I|7LRc@0US@igpsJ8*>9JOh2LLA@g36w^ni>!;ok2WX|}}__O37t29RVqn+8$ zB?rZuzPAMM$}#102i2*-_`^esePR69_{dsGHmrNe87L)NE6ECJ*0&Y&sxiZFd??sA z-iA*_*6A@tL-?IlwnH!-%q0&alFbnz#_dLjRR}r z#h?%YW8LkWK*4-MHZ@e=wQ2rl8~YP@1KWs|+;wOTIy6&O*`zPA9h3;SMLGa9b_{&) zlNqr__^FUzi%hW_a>ajz4C?>B+*-+*3*~@N_al*4W`ZT+Z%5LVy0`ul_IcnIj-Ur| z1-E4HW4P6fgNcc7pl&RNrocM!X8veP--2F43~y8MqRXSW?_infc%#5tT?vS_Av_l& zKXV5PW}uvgFpa8-nNQq)=US-7hgl_VEeqEXfJt9k=gb4fdjNOG<2Ow(gST*v|4uVj zNW9;W-y2VEu#Ddr)cQWBlu=k0oV$c9O2!JDBq_{HE}=e2onTyD6ZYb%l)m=SE2{J)?CC^`!oA|h*r(Voxc@ViyIo-gQfMbP{FmO-6~DN z6;7Ulr9Xfa%BCQ{)swJ{^5USdm*Td5$jrK1&=xl?hk-V+`_!WH`R&Y7eIySmcE#(U zhFk|?%!iDvco((nTgFyjWmmrckYXGp_2LPj&Qwh7{966}!8fy!5z>Du#66Ot9B}1p z6l@3YPKC=BeDlSk%6ml9(yZH(rWwiy-+xL%rFhbVB2`t zBn%U59I|@9fu?G{9VnJE9HRjncE7F@Oofd@P>7z^f>!zuhq2;C8Mxm8B6W$_?*obB zo_c^S`s__nZjTPLpH=y2IAC3@g{_YO9F0|M!c`D|u=H-$w06Zw6DJBG2K4_X>HGZl^l#^b8@Dby6fB0EFXrwAFr*Y=FA&H)%GOVKDRp z4QoByVy(UoNI1T2Fn%)L;ye|6v$g(H5?--tW7zf_6z&xb`(qB&t2;%3mz;2;jtA1^ z6;f4TnsB$Zr%)8^M|-(}k}Wi4($SlzP3yHm4C!FmXK-CHN`y2V`msVjdZQM+Zzu`` z%Xr=2_|t7-<^d_cwJU_lkQ+Vo&>PBxh@OYU1HrN+6hvEdjDxt04jVcex|w2ZMvU$( z5?SCp)t>&T8au(#KVYD+dbG-Us|uHZb0B<(7TCk>e!JWT2J}YwcI*V>PfJgO#DI`_ z0PA&$;_9L^3kO@VGS_;*aQjvAchW`Qob(yo9h6 z<6_2Xyt4G%Yl4-`ZO$%W2zglg69BW_81e81#RVW)7A?rZFlvvk0O?#*n+7F&+aC70 zcV!_1*P4c_t^x_C1Z0()s1K0C5u!?Ox|8^pcI{S#u&`X+}CvzEYcuh;b0+fcZv=BukoJL3trCh zjpF63zMzE$Wr0{v4BG`zQhd+EzWp=rp3_~jHeTO~RYPS5p@P9EB29mA$p)cSSCFQbMAg|p*yRd9y&zQ8}|jim3o0=PMMbxu@8KM zDIRyNBKBSpgQxHxDok``NZ%ab*ZT)TEKk1%Z`cL3F*#B+tg?hWwUA+-x z@$Ms1!;l45?*RMb;5{D#Dx8wu-X^wfKMtmkq&L|k?xE3_P z5B!jG8(D;3fva>}uRlc=IhzW6rw-ym??9)g*rPra9qRC7C%=Dz=_kc`9Nc9da2}^g z^_nt|O*w^4ISoxgGo15qf&-%Iw3-N6ao9NtWRM7%IgP=!q2fc>Kz#yQQhX>HEIk0l zu*yG{y);~06XT|3#QyL*LDAqV4iJx9aEM#*IZ;q;btV>k1O=hE`S#a!iUOL2?n{fu z8tr}mfpI|3+ItWo!|%e1V|ZAr>u3ug4!rQBFF-t=b+>)VSBir34~Yf|r^e?Uj?Zhf zbxb1r$}TT0Ffd^?o()d}lAsLCoWtk|jJ7Afl7$8&J5PJ1rYDwi`W{`Xps5r;T-2iLA45H& z>;1ji^_5@}12nM*cYUi^ZQk|Qxdqoi->vdvbp78@P;~wG5T9slLf8NDlInT~5qAA{ zsjG=<`M}kRw?{-3YmVlv_py2V5n>RHy6$+qwQ_m8_87e7ySxoiyj>37Ed5`iez~8u zn+hTH58Be&rQz`W+0y^fgm?!K#mntb)JE*Lej&~K^T2>!jRRCFTonLNI7*QUmkWSY zk9Dv*IMq=UQ6ubsqa6lZs{1`CC^44{%!In*pM%(V!6fURsOo-F*8Mf1LfHp4uX`&1 zlHyf@BJrV#YVK*Yp9fsl-Oj5!MPMo&;7TDiKKHPtOWW}vCJ9M!A5Ijc{_S@yNRiuB zb~e@MYf)J<{TuBTZ?1ojx&SXe1l&2pz?-Fi zdv#)CciZbf6H=PDCnDVs`vqi(y@tOdGH$Gy-xx2%7DOxdq-B1M;^W{hlnN|b65gkl zjc=gc#gfC)xmiW0N_jMRY0T1D(!6z#IcztLG+sb~*)@JgJj;0BsI5O0Js=&Nt{{!= zPS6A~T%1ZauJ@bydyP>6Ym^_Vv>lL{?`arIV-VML;U8@Mq^088sdjQPerTX z!cmV4pmm7G@cFniQA*`Lg|;Fp_-{>OLj?cZVt)g-*okKwWD9y5c_A~up^5v~l!2^v zhHy?6)|^MrwC3G7+OB}Hkj?8(1Ti6H?!XfHZ=1W;8Y1bmPk`u+=LMhG>~lfCW3pz| zVz#P_&)aQ(1P1BlaWe{CmXDbULy^6+9uQg8CY0I;rZwj^#=uV8`4?j5YOz_E8^)=N zS8N8s;%u6=%u^u)DCD#lHksW+E3hZgPr(AreHam7=anIS2t3!WxWJGw(1sGxAgDK8}Oa!`2Q6I6H6{!Qm+kT!VczCQvHu{)qiN zqyu}yu76w!>M-`35d{K9*iyvC%egx901u_wfGT}ucfzm1BQ#iu3 zT4nppj93R}C*J>2=M1xuhl6WmKpOZirDkt>UXVsdePhM~&SsoC3xhZJu(KBN8l5jG z^T&D4OA7UPh?qiYhroDJX@v_?;*H7qK#-a=%R{|JpAZPEx zTkNtEtCV1?oo&B@z-mDNn!Fw>f39Wxe7%>^O?bC_ygvp;?-CX0{3+nBNWnE%NemQ*Nf4#yq3*qa~# zs-mjH`S!nnh?j(7TE6`hqO79Yc^*fmO#*rZsb&H?F@al*o+~|W%~^Y~f)#uoF+L!t zG@+k;NM2xpw<97gaM`}t*W}~(1WwLt;w07M6!Nj=euY7DAVPgyT`RLM(Wo z9V#D{5vr6(fc`81986VG_+QiMvRAZ{atTx^NMxN0U8 za{;gbawY=MOhO-Qcl#?o@7;)9i6kY|<$JO(1aPKcJq~ZkZjb1g@lp5zkr~vG^M^pv6D ziuYeA1~=hX6yvJkVo?hg&QjiEGt{q{IyZ6X-8jA>c!IEWrYe~9`oL5cCw(F}VPUWl>^S@f1bz8m%j>$3g!VK&Dq zUp^S3gpBTdU$A@y_8S3^96LC_l^nnHcb8-CdzD@UogW~v;z_qfV07Hkg=V2PRp^^~L`BCvpIE5N z(S>?875bM~s3@_}uQ*Xfd#bfL5KPl{$$d=)qh7%)6AP{r1^u#JDXx9_q^W7WQ7*y2 zVB5;<--yi^`{{Slvu2(q7f&akgi$SavO4_@0?lh=D+`mWlO`J8ws^>ux23=aIXPyv*on>CB;fbYo$hk;a7IgcbcZeT= zhaQh%N{T_f&GLot(a7D7XnU(X+@2R*nZ+L?3GYbdV#MS$=slCr>A@A1_#W-=yY#I- z>}fhFUcH}5PGl3uCf2(j_0B}S9PY3$|3O<#)2(yc|ElxwG3$Jidu&3i(-Q0ao|>O9 zmmYi@^{jI1*>~)EY6ke@_)#~YocP6N06Bx9x~H}u{U-9X@n03P40`~!Gu3G@ghXqEH$gBIot z!5AIh5|7rB|3T}pJx0<(iR--*c`Tuy($wB9+gz@%6kIo(e_!*;ohg7#e#;wPV_P*r z&{*2vuRYigaJdqDsG~>kJwTety*K!9x3D1hi;zr^`&opFEv!X6m3_EPgJ$-jt@WTE+G@^ z!dN2j-~mJB4Z1G*A)tnz)*{wMB^`IN0`v7`S2@I}FaqTn&M)waT{ify%^+c;Vx zv7^7=KI0L!t=1k9=)H63JFZap@~W+){Zpw4Y{wE(nTy;y_|v7cq!2 zO7iXJA%8J~ylQOw)rZkVSeWZlZl|HVat&^Gv7KU6Wr~_J58(7aC?$k%AP37%#-F^A z$wpD#Kf+DbWxE7e9j;%*=Qi5?QJriA?ID|W9*Cn46ZJ`2*TeMN%BnqnegtcRd#7QK zr;6V(Dwgc`paD>n85eLJ{yxkw&S}T9Q8N}{%)BAoj&#_E)n18vRf&Zx@tEhA-0vYY zBYB61a4QfjeG*E8Z?2^4-g3v5*+E#YzkpSauGzqWX{iLCWj zdL^2Xysu@6MP7+0OXP+_Jh*QX?|1>H`5oy|9IKc-pG@}g5H9l&njPb$c?j)2gk}dp zf4HN}`S}~Sna#FoKJyT2J%nbPGp~CHFL?;fb|)Y55bh;{YUKd5BQj$y`gwr|pPc}| zTEJ%kKH7s11w2usp(xO1IY@Wg>2C=YNXwNf@b@Yt&AdHh;+IBjzl5EuU}b=rd3&J) za3<2FOD~A6#QT9;C?DL9*DQqA3;i6s6i4A%b&Q7ug>6$Ff)FCYm^lN4q&ElnN(sKTy0sDB$rJ1jYN#&AP81841hMnLt(Dw;d2Es87B+e)ny)|4EWf zg1S&L(Rws2Nl^R9tW~~Q32L^03qgHIh+1BY#pY&YBnawipejK<4~P`hzrQ@bpmy5Dva&v^ zGM}n!cf_71A=GQC!Vhm!0&(tT4kjd#jb6w|U}FPN$p%K|IYgDq?+%Dcj?%!9oJeg` ztH*U0GvdcK=I`bzaY!*2Z&+d9`>b^MAmW-kHW<0@eKImI>czhIw!aEu-1o-UCosJl z{r$%?LVN5-(W>zbdDLlt(0g!Ep;9Ti=71!D40*}^iJS#p83Dk@IgRyW?Fl2F?VUPV^7*bCg^6g^O z0jEa1zJP_(e&K24o!R7Rd;kVfwd4xo0AGVqMy)g8J^ouFz?Qs<<3%Co4CkLJO7~_YQm473TeAc9B`D`~g%=cWDgZ=BiRS zmTGhjLI%%$O`VziqNRw4-GvSJ0*0?~yo!+LQs<$P2u=_(hTEq|K45%=fhlDDi6eUw zGvt&-Bk;}o7Vy4+bL3B#65b5BGC-XHkwfGnoWdTzf8*dlbuViu7B%G63J2j^!c+%C z&!@Dky-Jp4_p)p*;Y~*Wawf+l%#JI7o?!G(1KjO{#MyC{s1=>Gf1M!E)W0KSeXh~p znE;pld#PLWb%2`nUn(HpFh~C<6czJHBbcEZy+jJ~Ho#^7a^nM=mj%dZ>fbTwU}Vca zws$X+{ks$4IMuCw?^W?}Gl+3kRbhPs`0A1PSnQ&b-o3xZ-q(!2T^{8KEZ6w6J3HWSM* zWE@W{Z<$goZy;$Gmva1mN6p36U%26E#TRNH;H8(;7TlK10uz8 zJ`S<)@mFz{xhy`fG`@C09LGTyW7%g8eGE7}JzdxVqgTGoDI^HXD*9y?IFn5TwJihknQ7Y_aR`?6DU?-$pC%LtRgLbSbjN;v)`8f)S{wym&SlB@_Lh zH545u?`u6SBVdaj0GtNC7F3#pH1tt&nsC;Y>XqPmXwymb3g|n0ju|QT$6$ip4I-mY zM|WeV!_41rgz!<~Y9k^JIK;-vVSDcqf$8kTkzNy}NgkzTO_bUxO6uc~X8vIi^Jrz* ze^;aokgCyne?SQPb{-bORZ{m#?f};4_!YPodaJ@8BdTtGr{+|rJ+{Aje_7tA@goIM z@IFl^S&a8-*w}#WxEZ`|Jw27XdEPy^0RiJDe1Oos2zReCApMh_Z*ejyxdfw{vy%sv z?lM@5$6mExK@W+Z-eA|+1fEQoRn9jqX*-Vmrv>UbppFN(4H0`dqL}9R_9JKi z4~Z&QcRc|Ci&%ABh0Vj`HGQicA_WkG)qDV@>DlvEABf#8lJOX3nL8AOECDi8BxP$w zTi*f4w3l#TDkbyeh|Ko|DtU#+^eiBfqZhHnJ03^7>_w778`b>y%PpEeUcx&m_*aC( ztE>|~3eRr(0Ks@`v{d^#d#Dbzuyjg(EMA>|3Hb0(dg8SNcTs0!WBC+xV`RAPV_87K zsMP8P;msUlRh)xWF<{|XinHfrs4L#^ra$MYlEBPXU)#g_xaXM_bByvg(KPWk2kBi5-^E4_6Z|=XR>Y7QmG{bOl5T{}QZk zk0<LUifO_b}YE9e9`6h z3UDg~z+1BNGb`3A@hKO4`gkKgJyeU&)Atddgwc!9oyOn?a2G>EDSJAGC10o4*U7f3 zOG>tV6R4~6#s{G|v>do&)o6dVh?3#w3c#p2n?@vzy1U?>T$g%&Xw3MH=3$h5j%1Ij zOdHuANi!BQY7A@-JOCo>!vnGRz1=1JsW7~Hi?z4CN@xi^L;8PSpG0uFDO#D~^hdNM z%e(EDL_u*)nf8M$^U|{2xjD;wj?40F5CB^)%M+0FSpMeDCYFUUU{eLlSiWEGvFzTr zFQ@pL_KQ-0ApP0frC2Zui*Y--{CjavGeSe;$hfUA<-mzQ9?dvkSP( zz3(3cW+dAyl`O2c=mlNAIlD`> z;mI0NRj%YlJ2vtvgo#{r*RE?FYaL4FTpF9T3q%|RzsJ~Y*EpaV^y>g6=9J;b+pGmiILOn+WXe$(8%W3 z-q#?D^l{VY!WI=Avn7|aC1MT6mSFJhZX;R(k>d8Z4d(e4#DC$E(*z!dzwx{~e%B!; znB(L;#PXv%=dh1;MXG^5w=1BM~ zF1{-?9yH@U@HQ5JGkUUrU%U+k@hJxMaSL_V;FvX6xb$O@JV}upM3Ps`@YSzsQWM$a zN2!325jAkX@Q2vuy6f4zmKyj5*s=5#fO#6&7FpE5LJZwwl6G}_*vnFyHy)Gn1LRv}2M^7NnaEn>)I#4aeEUx&MI1sF&NIK&K+f z-No~P0T;oKBx`(TNHZ}>)p$@)4>=P+-T2e_5uJ}<<{u*9-)ci@2(9{`&POt}7bxnz z{uklj;??P+-|NR)%=r82AdOQ5{DBX=(SO&Y!X@V)DJfM|?h#eWFGO(oIiJ3P0?j|t zuxlQ9=FSEt`U2aSj|y|c1!^RfqZB~KK>+y&-02+jw6h)pnUMm?`E(#p@piAK*2k`zJ4363^ z&wiTG{D_@_i1&iR+ZY^SM_hk#m+^Q%HU@!X31mNj2-tV-B|Eq>KNsf=tP)U5jpeO?qhY$HBb$) zk+a+*S^Vp9aL)uTUj5Fur$}69`8Q20MZEGes1fC#Bs5|RW<@E<0ANrd%<(wmJ#6H$fSL z_G1ii)-Coi_uF@>DsLBK!rR`Qrm|l`_AzztBM4gPQJSY0b3UOsTFY7?$l+c;UM;U} z#wubo@VOrgzQiE-(&OuGE(2y8upG7!6M}xmOA2s^&Mb5eXFihw^eEXPS8Boj# z$@*>BHoFO)Bm{wy;mC}O5M685`$Cb0?`pC-x1u%5l;Eqxc$Kxy zddSI!9kBG>!$4515}fbQn@2pg7!@qyBWl zt~szROE4SR-=Sym7eJ1Mv>HepT%UeOCHdj#4o>o{-(jNYujHbDbggPIS7IAU938>6 zvLPz$zHo1syD$F)6qGTz*6n1$6y&*6wDko-8$oKGI@0V>#z(~ z`TC=o@9)hR1p?*hqMd}Ha~qX!{|f&`eXxNq5~rX|@D|x7?zMdcsBBZpzC>Z<+v}xY zBxHe2$K7arf-D~Q%H4x3ZV`Mk zNFjaz#AAu!wROzjezX{_@~qJ`qUij(E&Gt>4qtb{TKVw9Zo@vR5iq&6RKMFQ|NLlr zKY8})uMi(7fe>CyiO=0{pXc>@SHa~=N0)xtD?Mk!u^Td-ogzP!ru>-^_K&ceB~@4A z&#Q^Qzu6NK!uu67$#yFK#%2J2Uo)%e?`w+hF}@~>v=K!(F{fLrQx9V}47`Ox;2)Rn zTD|E=6272&ex{mFx!$95g(ok*Vm)#Arw+G-vX9^#&;8)-Jnh#iO?>&;9wF*@dN1Qzu2;LO}mtxRQO* zAVK*58&@?IJWQJuoiwv~Q>V|4PA!~`+^L0ya|$oIXzJ`KY?_kTtm#EX5QHozFw!sb zq$FjhFz3vhS~zXyocaHg_nW6iC(NF@AgaV8`2YXG)9g9vlO{)}&p|KEiOrs(XH zNW2nH7M`=zlY+1<9u3cd=a0nq;`s*8E4+zU=R`bTJaZ)eF`gvEw;_D&S@4Z#DV{|w zo+#4pGXwF&XCl)1>KTlXPd_|8@m!22jHeTx5T4e2o|DgE#DBqKiErS_n_N zc8+$R?-Yb7+C}(xlD61)k~SCr+5vuS{L_(7{Epfkz)eM&AmXXo=_))=J5S3*JOlrN z_;GNdopuF$6X&eVqa zI%z|EJ+$k568~)NY=tkwQ?)ZR7yoRO%R>4Lt%vxX?K>CPXKM%WFAL$h_}9~ShW0!D zb@QF=J6r3i@UIm3X931brbZV^iRk zK(qGXc}sJYZ(AN?_)) zDpt+r0Ow%~a93b+@ti&-mM33nCfSF<&eel6(NN&5AY{?Y*bWs-hFfPSN--@-0uYuS~c zzlv>T>)AH8on6YVVb`+j*kx=7+r>7p>)CF06WhpcX16e+-vj!+lKxIfe^-Ehzofq> zK!3NSzmMGy`UgP&AiJC0$sS?{*j?^J!Bm-lg9n{%@fFh#g~pWB*{EvoDxV|COZwmVFQU9}(Y%Gu%JH z@voSJM{}Z&;Xg7PC;C$`P;u_$CfAIWw4bV;AV$MFGtJm@pH zn-As_cqSjhv)a*T^BkVX(|JCh2&!?s2=rx=eu|`@3i@fhf=}mD`3ydj>-4iE{cJu5 z^m9R9$>;MayqYfrRb4xJFR$l~d=_uw=W(KM27Qa9_euK2pkK+}~( z`c-^2=+}V$626xE_&UCxFXorFqrZ%Az1?BwoO$!)6zm8PV+1dSotnxQ!d6sDd%B3t$EaV+rto4Jp2Rg?1-qcr zUm)pcz}G6&XWE^NYO zDCJ661)csj1Ex88v$-}TczlWW99G2t( z*pVk;K_0{wnrC5co`Xes9`s~=i2g;;za;68Ncukn=wFufuLS7-DCu8?t$C5X4*ECP zo3KG|vA1D^j!L`pGJ6k}=aqoH`J=QqME@b^|0e1GF6loG(0?lF{}G`7MADPJ`jCAI z`mbQY{?5K;|70JtZ{%$3Q`tuT!Miet;b#`Zmz}B|YavFZegGXl8B+SSFp` z&WWCER2wWB*)k_=Sr;D51#Fp-Ubky@ST^0JB}j`#^gShgSJ3x>rRoOT)*IGIr|%=_ z6M0{r0PEIWTC1M0RRdw;2DPJ4hTR&%`$!8%^us|vRML--^r@g9$^)^Qdul=hA6p^<)`v~|;X6|5c6*MR<9Nna=Fy`XP^g=~VIJP+1R zr*Dz;KHduYMWA2Imjvvj7nagUuUpL)SiqIAkgc$Ri(mzbevPz~mwh_b==`YRaF3kFjJV+nL@Gtl8|J6C_GTrktYsQ>x@J9H|GuH^h_;TfPWm)C{D)95ar!xL=Oh!W)1m@cj8K ztoVC)j(i*b&mh*PfG=Q&Y2AeL?_h=7fU!Y#8?37hKPPNn7udr=u$&sKTNdozXjm?r zX(XQWCcxIE`^xNm zFm?f74tEXg!%B?!NXV{|?l#zhD=>aDwgpd4H(>PM3IBC+lqag&F-qTt5on)GLl}$c zcK!fA$RFkhU}t~F9|1hU5AnxA@dkgA|A9ZnU*IqEw-A1nzk;&82Hyw#ZT>EQ2flas z-}s*;<_p}v0Wfw<-WmG`+)w%U{0F#S^RKuc{%8S(iEhFnh%#E73b(5m!d;>(e44~Q ziuo902{MMUfugq;CmJIUMc2)Y5`!Ls1;R$d@r*`(S*=a(I9F>D=_uqJlvrv%w+Me-Nc15v=Z)m zu~uxr{YtSNxGiF%*d&N%4cjSc_P~EVd^d{SVw>0}ZV`LMZQ?F*k9a^l2>c!5PTYSh zuEX5nHG- z+@>s3j;X*@YN{~J0jAT7WBO<^namd4Ocrb4vUIrghz@9U75x$>jwx%0%VLeP*{xQG z)fydRJ;iD5(j_)<1#&v|w7B@w&q(On&2I1Bqvx5u>@KbMS$z`w_UlgsN!9@a2PF?4 zGBjnF-9CIo>d3S0qeh>TmYy+Y>^O?=kl+6_Lf7lLc&g)g9HY? z_re-T6`rFLF}JP4s)Npbjm8QGh0_3fPGjI3$HpVH04p(7Sc9p;8cZn7sqoK& zZPGD43^7%hM~CP-`tZM~Wc*m%9YM-2SnF?3J3xkqU~Pl&!{mJgR!iDbRbd51$Kd}? zNncm2tyE#Xriu*;#Du4qE%8p=8P<=guzJL>)}m7l54d`0BGy%^u_T!UZn zFO|4FteYqdt=3mz{e;5BSjn1<^_VHaSVc7nm;&%`S=!*MT%y0qY!^t@TJheo$y4R? zRBL@(SQ)*S)f(`+5^r>wud&Y4!01J2J-zeAUlPmmcs;(_hJ}P+^_5<4V-;IeQ$2i< zcgRv!<*jS-HES7Kp}QhGD>obRNzB+3hBvvAw#BRU9;3B*2aj#>w)j{mSdDkELt4Dd zS5}Z;R-WcyP30Bk@+Gr_`KG!HAP{gRAta>-S^xR0e+}#3h=x|dv_WYa(+1;~2ER58 zt3+`0x7qXt7B96CfQMCj7gsKA(Z(mSWUZ+YFLM`qGLOyiV)xN|c?T=?R8|wF5=5v_D5t1#F}=YLywjHNtA{vP5#B)SBw8(+LQU-g zS<#xNCHAe>#%Roe1oe&8tzHP>&^;Q1P?hx_WXeViLkA274KdnGmX9uQ=+MD5tqR4!voLv)4TT&G9+Y3}lSJ6s1xb3G=JB?8bma%A9c-Zo-zQLkS?vO} zQY2Iu8Mmks6}bSlm&DRh$7mUWoMm%q7t%DX+Owdt)$2pWvOb{3C2!51g~p`FdvGwN zgDtMXw-FkXvXQ7ECbF5JI+->OU8aS#wy=g4*3^P&_t1e_W0R*r8#t7mKfjr3=X`d- z1+4!B^u_+gbjzY!9&UZB`}A$;gFGPv<;=8!1L+1B3g`>CkR@qJS|TagQw?3BBc^Br zkn3Orj!Ha$Y5m|V2h0IvWZ*V-EN=aP?hQ3BM^tZifi?!UK0w|t&=5a>ZtzJg-7i2E zG9U6uEZr}lmJc-D2anYf6SXlcn?9pR)2eIH0h*~fDoj&jQxgRPAB%XF)Ks>%U^rr# zUQcC1Ym+gJ=51`OtE@qL4#leUEon8-`TW*}?7Y@m4@MSWHLLM>n^+SSW$5~ zo0gYd#HQt!=dtVxur13jAg;ybdD*39tfaI!GrJ7S;qKCW_k;psSX5T-20z3XvFw7f z{2aO?!j0RsynN8)6qmAs;^Gp$4DRx5=FTaH)J1NHSAociBHRj#vsmf0xuw~KtfY7v zD{z;UV>F$DLQExjONz^Aq-I4ZFu7&rrTIm<;DP^@Rq8IH7z|-kS#b&EfK*d+rW9q) z9TxHqXA>Y&7RxCpcB5FC`J~$%XtXpN#dnvLWtW!2Us4RG40)E4;-|Swizu7y(o%?+ zgXajY6ZFX zAJZR9!GKhPU`Bt|e{6qLX>RspRse;=p-d;U?8#Gzeh>%-1Ns350*3ZyQwsBorr?Eo zNdfL4230y@k^ln$X@FV%aZ3g)@6Qq|tExOrKIUsiBW?E7duw6k8k>A`F{F~?Y4Fwh zmP&7Bb5%{P54IN{MoENjPYh!hYiI-uw$#%?4$Le@P){@3wr7#ov&iG6VNYhz)Y$Bk zp6c3W=3Cn2VT(LfbEQFItxeU9iyK&VV=cNsgO9Z~1U!{AeRzqfd)#afq=b|9ePgaqnTU_g_VRX~!r4J>mXH9w*O*#V_8(|-Oj7Iks zwpcP>yr|OK>Vb`1*r2C|Pe(O0R(rgaOIf`h49GaQzOqRtG$Lef#G}&7$o66e)Yz~9 zT>x;4f$=y+3~WKEw3k)gzB`x9tsQh*f3Iab)Tsy%O=K9K4s=hH5Apc`pPfp72z zq-oS~HN6vS&9Ky3eWkCe1`~w&_%@Fs$Mo;p(jNvM(+;0jLF|fQ`KR@>W)Pl3=n+J=LvMq&fLrpEiG~o;79-0m;0r129#Kl!xA;=^Hjw z9$GuTBaBE#Ej(zLYa42PwUyr5WtH;lH$%#ZY3WZcsnSQ^AgUTn4y(eJn#NWyWcAR*4l_$kbEp%tTvUTkWgSP*bulsFQ2D`I4E+pHCiIR8EvPX z`RMf3T0?97d{hNJOEflkmuiGHKOUuxoun;X$67uR5hRoT3-MXRY? zWASMywTVv46|!zg15PMRq%xHLlrmrfh%$_Y^Xr#En&lH0qC*?PL_n*@bWjdfXz8tJ zLQvNf%>P5m8A^4vpt-RgPg{jFnVVZyo`u$m_9+J}nu%p+k(N91FOA4w3uPcBABsuH z$>k6s56p1Xa4W4*J(?a`)KZV$h&sq*oZuWMVT5!A$06@ncEhCVC#4I{q;>6*If2m;(PJ_(s!0@@Ezn2(R)1$vu$<`6CZC+_OCKiu z+OTuZ8M%CTO3JX=T1#VVbCqZA0z8!sK|(ya;4zv$wAL{WJk_B`{xY8JIKoEAGNoy{ zU62oU`nTYer4~?BM;d)yLNgM97ZwI`C>ch|poOW{TXjGWi$)Ad(O|aF$s2>iOlf(j z&o?%s&4W*XzQyCC5ve830URD2)yPyRAL+F$Oa}2RFD+`BZ>bjw$LfK!eIXtgRCAg= z9vbK8H+u$XWsM7bi!oB`JhjZmrlrlb3u}B@Qsw}SDngqI%j0QS)~FTKE^KXVuEnEk zaTT8Iv;rDWwG!V_t-x0;MM9O-_Q4N-(?vSd+dYuBY)-J#>Oj5RV z%2@6a7jpzo9A;6J63_DOy=gWkVen3UX_kYab?RIiw!kunQN zHA*XOtcFG#HTMv$(Bo|ct%K?`*mA)R1(l;6o@|Q?rj%zFOxKEvH5dduz?DxQqG@h@ zu?zD+Ol#ViCp~M`639kXH8wZnnWO>pm1M29nwIUB>g+4YhGEH%>{hT6(DoX=Lr5wq z)bz$yX}A}Ayd)U4Ow8#p?P#vW1k|INBK37-g=d*c7#qw?sxhIHvm?o+3@wbr^wy&3 zV60EdEh$(ue1P6X(4M@lSR)IJ@F3H>y0oy>9&q%+sFOJ5K|@Rv4AqNhhOnR!i;;_4 zpqhMN3-VX3mKM!gTVUb?Q!C;k=S>h7Ikq-bp^acMP&Wo7B3d*S0ihJ?h_nahc-MFL zwD~gt&jJ1n*bR69u;Rfse+r-i@DYH*yANP*#KUd=BXCpTQh42OfCH2OMgu7P{>wVN z_(7Y0{5x&_?~h`C!Q0r+@lWhYfd2xx6ehaPT*c$tzqa{52Cz7PkgrNN{$uRH_Hye-UaLgOo6N;0bl*4 z&Hs16dw^R3n*crl$+`8(<<%4Jsepg~i26Cr z?>};?-=7Qj+%DL#3;#^O4!~{TUkq0R9CRWd@GXh;`}cuvCfs94UjkVD1NMe|k9{fM zq29j5{u@B)H*Nk!pJHDNfbv{_tj#|h@YE-5{ssWy2E#Q04nx-;0-lGy`@{V!Tn+X3 z2gvlm*Vv~6Ao{z$YV$7#qyYK?Uiq@k|1e-DU=?5iU=rXjXZZcy;J)w$bPAXWV1Nyu zxB24%?|ugT10MYcf;a zHop__Ddhbv;1K+K0p9^i(MHxmmLY&wPWStF0VVj0GO&7A&58(p$o1bo^sDuuw|{0I z`t(2Chdw^ZJ~Rt$pX#h7M4^Rx44fvn#LuB_XCc2sN?%r}dGuScC z@C^nGjj*`QSe$EW^?K*x)uLQ~1W8kCON}v11|6>w*@6~t z6JCb-h)avn@2zgFrxOLjFM$s?@DYY!fjxKvf<@6+5M2_Y>{hcS%I2Vug}%-(;m5mx zLzKf|kBKK~g_+w=iSBYnY*(#MbeAr~3REVG#cW2L#mu7|+-{5M(k0pfOqAIc#XulH zWwM}%CZwmYJ_NT4Gx=rKTnMX42$OyVJyw$y7~IWfD`kQUH289bILIIZ;pJ;?X=(BK zT3Z(_TD*A4lBG+Roxl8o3opX8V#UR{R^nQKB8(z93B*&WfVtky*hzRj0k(Xh#v;jL@% z`Bo=*eXG0mOwYM+Pn_0g!0ISdm+ool3n^i4Mf#SVdj>7D zS9k*|tf-Hu%Z$w18tZk1)%mup+*@Dg-LSX9SMRIeTR}fkY?`mGzNy>Nb$j>v>g${6 znp5wqtMi?K$C*0cRo*BYSm!NY@4I^E=Gar~R_YmD>|1@Y&$oQfnwXgUiIWO0+O@a$ zqVR0ZXUGMeQU68zcQ@6PDmo2M9J{MH1Teo>ykGh6+gSM<&=kwKV-nwnC z?*`w^>HAjuw%2Xm9OsMMT-UTaEq&}X?~OO@@ulCgx4vIpUBj7u`X>z-vnOuz`t^(B z(tSPBDqKzV6-~bDudb*YeNMXf%6)tG?Wt>6uAP7WmecFDy3*3G+cBr&hPpX7uiv%T zw;l8SEB7TP4z>=oZ?BlWWy_YjEp=(bIjUtlPW1q7K)Nw9PSB z@2jsL9Jjr($F%EEl`m7)2x-Jd{t-D~eZ{51OzWuJLsOTRzaNq^o zZ`kg;a$lcyadYO>4P3Wwd;R*j#KbH2ZSMnr-S+LE)Yh#_To3Q|_3PK~+p>N8I(Xtx z-1Y0XUpsK%`nYS>*VS$A69?KpzPdOxxGi;caqG3sTk6(t)|+~Ne2X%lmE$}03-Jxj zXPkC0WwDpB>!Jl?Q9kzDu4dnJ8iAMLTTR+&+a2F_&PBKfz98z!-r}@Z*NZPx8u0B- z1sj0-NW|hrExnG$*F(ecHHr&33-%7B;#;EzywuOYx9jwsdK&furQr*hKKQz&4m9Zy zY5?{V(QcU{#H8a_%EknF(Kjm9kaz*UeR@2Itq<&9d|vJ$@#3qRI_y}f!`Cph|H_N~ zs9u?_QKs`UH?PBYs-r{$a=I8_1dar48k+~n*3s@Hfp11cIyijIGa>n(QTlp(^HYZb zISn*v(7|fN_XKtsfe!X13v@$I**g&@t~ydk*c9DlD7gN*5r5qj^+Yrc$_6-x=NO`9(sK(-xmvq!Vef3l=ch};p9MmUK zrL$saZ5+N3D#v$0w5PWhABlS%I}6{U(moTSOp;QBQcr`V-hkGvk`L~j_8o77#0|_W zEW!$X+W_>5O*-^^6CVrx6!Hp`n@U5wNT#vL_+oxPAB^~+;P?Pn8cWBwxT_HF!8f)x z_Iqeb--CB0_FDXvf5>O!>)UkfgY%+3cH+xiFC?0RJ(sF=dco}?guIe(s3tbblIR*E z8ZRWy#odi>Z|m86+=BYK9x~p59gR2f(-`f{I34j7vX9(>m~=)G&xSnrVbAvizR2qk88#tR9IF)b(SPb$HxY-P z6bDUpK|dpj)lT#t=$Z8xX_}yi+mY7A&KJvra_kbbfNNlLg~ry3b>ejB;Za~Jz@5G! zyj)x%RO>!ZSXqmp*6l^>_M&y$LHDY-Nlc8L4^g&3)ey==5I z%_OD0AS}fi(FOQd@clVXE5di^v-z8nqC2p(Kh5uVv8(ZAI#z|+rMyAhC|VGEi^S@@ zLweXRXr#jlQ2=V0e*XkO7Jx3|?e{+}o)E48hQgtiNg@5Z89AtnG^^)Bymgzbcy~do z|BZoGPow8Uej{(ye?zSSB?WJl54mKc{C>R*6sCMW<`dA1vtVmOt>J6r`7f^W`JRXJ zsgV4_TZ5iYNL%r+3ZZ<`d!;qM>}cuuPGK+R;lv`4IQ+7$ZV8 zp;A4DlMZbt5kf@+d3;-;6v$c?y1y4rIKQT$)vM$&{zYVJ^i_9ay3R!k!S6pFH)A`)8ehOJg<3830L6y}){)CFS{g^^oFUVeXwm);wW$L&PCRG#Fv zm#o)MmaB7K#u(lI^X2+a@oJLg{dMH}|C3j!X7mx2!m}~gQ26%kOUH)hlcC;1F_GH6 z;?v$W)>tSeGB&aVk+G2_h>Q*88}db#ATl@rXDBHs28wlAxonW9}U>Dpkka zJ7PNKK2Y}Bj(Ekjz1vytk?o*p2m|xmcw-#1COr%8GTg zXZd?Lb>%`9BTf;Q;>?^sam8zmJeMpR=ffOkr}MLfzHXKv&*|ze&*(anZ^UlBH~H^z zss-&d91Yo)1L!=nGXrF{9SksqjSK{#wx%DtY$7}n>k5t z1JAB9-{n%?Pa)YMtRj_*i9CT#$FB5_PusMkaU&ZG$}ey}hl@7|Iti?vcf$;{JDxc1 z!l@-LHh?GNtiK1ay0;nB7l^^E6?@L9gnCY+W&X<%Qa?A>Pkp0j_aT8N`)~NSSReZi ze4girc@54jdV!ydRjfL!xrV5H*k8Q}d-6xHMywDm!IN7(R!$nRvN8$v^&-~dig=Wu z(^__k>qR-Im5Wg7rFf$Io>Q(baX0qsKY{0?xx4^;_VR434i69m#UN4028(5wbv)09 zVO8aR>|CFZmC%b&GgM!6M$1z8X`SUxwgT%DtJyPLtxtR=SLI&Bi7JoqOR&Rz8P>AK z;z^Iz(`apQD|?h*%I@IXv45M^(_Z4&F(2wpwWwWK3%Le-Zv@|)aL$q9yW5~KeVrs5 zC;dE*Gm(DePcc6~!d}HWW3RA#`RiD>O~h*2Z?J;75vLCI!@AAy_$N3Y2wPfkqR^M@ zDV_x1S2!)mC0;S`{Q)f?8~OI(N3jB`ueW^6M~hKd4NbyMed-~fvw^|Z|CKy%XD*+F zJ?Ruf;bT`G(oE; zs&ya0>f*(MT6Yg|F6)U^zP>n>;B~QIB#C4(M7%9%m3OE(TZ|B`hSr@T&Jk%iuOI_Y z`5Rcam?&s{ky>{F&MTNKO2tlAEUriEo`SOq=7~yCjk5`AMT=Mr>Gt8=tlQaAu}oYd zR*N%m2EjV40bMG#;Pio)u)erW+z+bV;#!Pn;uy{_SiWflrBF-6jS^N`PIwJmsv!=cYoHX@{_@j6YXAM~7nTdGAi`TWr^P=qX z&*dDAKGPbW>N?JR-5V7}2tj?SG>(_4ty_e8W0HBr$%>wH5NW8nE z>ltxK+!nx)6B>6z$cK=Fx~OI9`4DefTWrBO1v>9)@TT)W+Fw)#{W9|IXp{wgi2K>yn)&!*+Sfh2X#uVgf8Nymx8!$ z4savxk*@aKLKKm?DcOELw?9hjtd~^DMm5>l6)I`4ph40FY@8eob#AH!cP1MpYtOA? zI}2}RIISg^TSt$vov{crDOz(?slwY?uMWjAa_cA=PJvOZ;D&Q64C8hpUItcSyxulO zN&nC1)qz#Jo*Ai(#uVXYG{%wt*O4nrYVOzJmHjL7%J~&}<^GDi@;dMe)ytoQUV5*l zE>(|zRS390M(8aReWErIVqvVY6JeDEkA(;!K_u)1%)-OpK2oB{SOdGD_m|3*X_%V_ znX*g%*X3FpQLc6W>vFA+DA%R`>vCPzL9Vh0GM#+8qPEbnZFR(`HWS{uJssp3B|7GQ zzO+{z@rrAEx3kzJ^o8``|38PjNnX9{T}j8a}`u#Mc~;@kL^) zaEdPCA2_M-Yn(#+Fh7Em1b@q4ee?nUVj#2!p*oBn|^1tuD)W5&2 z6+Zf{^QZX}+Me-m!u>Pxmj5wHpDJ$fo7rA}2CxZjU5OHDbK9bM4ps(l$9m(d{5oJ~ z@@}|WaFTICTR)aA9s&Ihe|rJwBW0@%X3=87*UDxKvy7vI%@DMV1SOF28o?~%rN>Sv z3y#T19%!-P6gr%+XTgFXE;qn}?}QMa0q-Vw@L!?v91FN&6HaQpl0rB|8=81#6BhE= z(?f6)q6JFEdXohS7}hayJzUJ3CFH^9H8))?J#5=`*Xo`K_f2e2$}k<@%Mzof9D6ni zW4Y;f271e6#+Gy$5h@frkaWu)e9wE^4L9z(@1DDF-+$+ho!4Byd+4)_9Xb5m^Dn$O z*wT~r1{*5!%+2gKk74-@hi*S|@KF|*YGJXbl6oGH|1Xi@Tfy#@v+zZq#S%xEKKb}l zj18Y>Uz!@q@JxyrMK?w#`muOA3z1=k^`ZOkz2mO!eZVfBZ5c7*bmBXLm5dl+NkjHv znaS{_qvbRbWej011mHU+Jg{0)Q=_p>b(V##-n8x#wqoTPw&~IhmJ7}&9(2Xwt12`L zTx+EymQ*ZCBa-xu3%-()5>}Emo3$>Ui^q?PQ?azWoK@*h(-zeH2cQqLVw+Ym z%SKAYu*z-O)J(oqi)EY-9b!J4J_8}b0OBo0g_iXrMoeS%6$na9UOE~UibfYo=aGcq z20zMHjiu2Mh)jk5Tof#ojVQ5LMl`~U=Wyhdnrc~a9v-E0Q(1j#6QLSFnvX}Qg;F9} zPNXiG2$?2PCQ10^vthE|B(#;{`I0a@af17`z-@*ili)spRfvSP8a!=MEMcz48SPhK zExHmpEW#P3FXAlp>w$ku#@>OVKFBI@V)qFC1TcRCcdUE+@f1F*K;U~Vw4G$pjHvLs+%R5D_JWJ7R24Llpn+p%(*htQjB z81C00G#URXqnzJrc@y1pt9id^n7srs32jqwZ^3!3d+;6S96kvl%8~A&AB7Xz7V;OZ zA>4_4g_y14zwOAeiewA@653)Ra|8#*YvJRI6H_Gxy35?Ho~&TuE5JOhO&#QVOvZtzy2E_(iD|O%P3gk;LBi_qIQa zn1kj&AT?)$U?&FyPn@=6h1dp4q8$czj>Ps8@5p@q#9Q(8tJkj6Cb4h%M|dkXTDJc$ zv5q|+DcVqy7OcX556zs8)K=KpB)m(AmN`&cB^eze2JekVVy*c@?iDX%r96rC5xr3! z`o#yzbvpP>6g_c2g(u-oX%pHmgm1EKFC;1xF#Co5H}OKcxy_W?QI_P|5Z3jY8}>fKO!Ex0+YM-z1vZ zR=7mD1+}F#L9$_nAjXPg$fr~s@vp;b_YT`z1{&h~G}|n8VfHZ%CjoB+ADu%1e79hQ z{~KsCiCq)GPk~ItzXIEou9vvoc#D+KHY0%7^G;}^nr@MGtoV^jXZt2$)-x->jc{x6 z4q=B3KLDPDp)ZV+@EvYK+ra==4_0(_J`1pd`~=qO51=;cvD$wG_$NU{ZEhL-ls}E# z`nAur&-9S#cc$k|&zoK}y<~b7zt{156Ti3dd&l&i>CdLWm_9Up68L>#iZKr|k2g;> zpKHFzyuo~pd5`&i^8@Aw%@3OonjbemV}8#3y!lOYyrrw9za`0%Y&qLtlwC_wOXRAQTC{qs4h|QQD;OYM7g4dN99K4Ma_!xMJv30e%Y-ic}*aq4L*^+HTZ7H@fwnE!v+Z=C!rj7TH$V zF1D?-t+K7Qt+8#gU17V%w#&B9_Mq)W+XuE|wy$j8+Wa<)-DU4{bwokAZ*^BKZ_R02Adzro5KHWaYUS+Sb*V~)zi|otn7ur|aFR`z;Z?s=& zzsi2KeTV&K`>pnS><`6cV6ZEnp(X0;5|&~nn^zy`3LOD z&VWAy`_t#aEr3h^QM(HJ)|+A&yAloZ}xErt!e+%3K?8!euc(`4yjP1bw`W0|{VlV#@ zxCL;rN0NEr_Jq3uZVKEx;AX)+47URAF}Pm1G1%w50&X(gtKb&E-3QkT_aNL2aE}lk zd&Oh1U(*XW748n&V-EKq+!ch!e)FSnkHJl~Lw@Y1orSi05bhGV-@x4m_XoHK;j*sa z3pWPt2XGI(S#XmuYrg~T5_0?d{n*jUj=@cVdo&642sdK@a4E^B{JB-F<9q?Q8F1&3n+kqCkT2Xra0|{xyXpzPaBJWuj{+aKG3OwR=cuD_ zV|pRoWq$u^YQJzlhdU3hxfuF`+ZFC%xYck`RC)1brHn7ff3J%%o7ds7R|6Jo*#Vrj zFrLveXW;Osd;`dKoig^cky!{Vj@pG%0(DpQeD6D-LXcA6)lNz1aOfc`4b*M!r@ z)~Y-*4e9cuGo3v%_3UJRO-TPF(ywZtUQC9}GN-KQs(_E4PcipqEb&L2UlE}1pvw|x zN`W&Y+d00}nbPRYa688XQxZ|P0mS< z742aQc`!^rN1abKJMsL@Y@f5S>QJyAa}66 z_RMx_In)HZx&sX{*m$XLeuQ)xNQWU(UWEGrxV$i2EIMI%7%maGdB9<~45l3ooHquBYi4tw_I`xj4eI0sDs+jQq1_?iWX=XzEt!3d&95BpRQR>Bbnrt0lLx0 z;Z=d;^FTxS-5TH(Xs-pvu~5u)X5>-7MeiLwDbRR3G+eZdRhBj467yPAn;c^gg7-S` zUe=y>Xq?3;w%nPFj!;4kE6E*bUJ+PpighAK`7s~a55B8mkE#3-WNtFe7S1Kv&gD7I z6?~GjNgR#XVomr5G0P`_B+uDY81#w!pm(x!c{ZY_JD0niOWbsqw4x+3eumRG!MP+y z&lWsQv%sGiR7wVrhZvM0;w>KJR|N5%*ON?iHi0wA44?S7)0gF3lI2{Ur{@X@15pLe z*%U>ozIC#jxNf}}B+2d)F385kQ4^$tD(yItg*C;k$-qNl-}hmV2PU^5Rq zufmy8&G2`^Go`|rI>9-5f*Q38ovB&Q(QaqP1m}2YDPKnE{>+Hu`FOBCgnaHmK3MaV z`2@zr5@+mGXMC2NJ75M793bTkAUHrG$#_5v=D!EPV?O*OYjAAUs-21GKe^H#OqP9V zg0c;np-BR@i4ySm1ZOez5AdM53mMZCguRG61pcbM1ZC`5AZG~qhKU2&k3^)|jWmyg z-w*@8*j#7)BstE5G4MophgKjls7yv(&QOYOBzt~)dJ_brVPxAJ}{C*v1 zGYz!CanL}XFB=i9DWm*Ib2HLRGo%U3w-y`uQ@dRb+BZO(8=#F~%eCpwq)caWwzP^U zb_Z)7gYoJ~@OdA6MEiDY90yZGrEEr+C!tB@s0Nqi9G@dc-F#;T{D{gmkX1TU5zJK$ zk<5H}{yCW6odi*_A;U6fipSwh&67<$*Eyc1h^c7g$IZtbJ;A_2ml+9knF|cuXx#WC z+RpnpqfOd4glQfkpUHr`IG}6eysyqUM&v=9uBcIcjy%N}<&M^Cn&u&+V=&I)Y`C!j zeu1`(v0{#s+6X!uY+P=rbAav$fS$Eek!~02joP144ov-KNUdNJi>jzK_zN6(QZU%^ ze29_?Yl%SvRa)pwnc^IsB?k~prb;>x&pb}7JNo#s=oYDyRApsH=NdvN!gfFw*-4zW z^$$4VW%7jPW3khvAM-Rkh`5c4%|dD7p>{QMYag3SHG(MpF``|B5$a=xY6ZbZn{uPn?r02c`eRj2d%PTG zwKA&zKwChGGmSLa(Ws=5sO<&!L9FeN>nP+>?KRTaFiDNUn4eE_rc8Bexz5C_&^$+r zG+lQ`qe46MV>#xHN4~LL@O*-N@o3!M2E@Wt>V0CGVVIsk+=6xlT!=?Gc+k0$KbHrM zquaqF20ZB8ncz6B=Reapj*dt4lc3dpL4Gto*f4M;gU3Yp!`rYvNtjHP9cZGu9mQj! zUj6xoo{8jJ02Kj#^n6YHBGljLGG*~68+v-7!9U%Znj?dl9b-W7NP2znllQ>joh|VZ zo_WzI)Vx-M7{?&fc9c=tUyM{0a$1lt`(q|1ZW}-oiwBVVL30d#k~=sDh@GVhk0)8Q zYrU_+ulH(Q5fhzV@w~75CmR}u?815sR2NK*UV$bWY(A4P421aVgOXh6eqzd7#fg+U-cI=C(#%2G>%Gq{8%`+isZ5p+O%#O1G(K3hiQPKq5Q48+qK1 zJdE?H*eOZ{CHjmlAGIwe2cBT{HJUe&?kLi!xtmcoy`FWEa*g$XOwmQq-q22vR?Q#A z1D6Ec55P@;KYV;ZS@jvDUZ9}$$MZmN8IIbX7d&h@$ML%Wj|gKzM!s`=xidA>FbK?$ z6CXSd=#!l}5k~KPvdWkZfM}u|DS~M*zrw]c(O+&Vn5Ft!4+#bW_u9^{PeeC*Qd zm6^dacBS&;`K~cSR+FM(GCG)?!ieiexu|!lgYA&v7-W!R6~gpvaR+c+aTaDG{8R_4 z#C-X@xf{Vlh)YJ?3!TfHBCe7HlQ)LaiD=>!gM;PX=YN zGi9kQ)fu}fqk*z>^G{|O4!1Fd_lh5muNVhN$3qzKc>LeL72S7vR zVRg>f58#Xk?vKEI*}2|F&ornSlXiV}+p3y4X1$nz>Q#X1FxtPMe+s1v(M0s4{F5Ry zGny#}QX;2HOlEVU@q`T)K~d%&H;Csnlrsqp@EG3p+)HKer0$C4vl^6(ii2_kwI;P( z?o7;7u{rVqS}jHesvD^$W63!}0kWglA!MhC+~o+CeJb*L)6H-0;&y`pE+>xx3%LnGo&f4O7)%E$6@? zdnB#BK7}$G8Kb=o!|Sg=^x1{cvQz$tkbeg9|6}L+!BJZ3Dbv{P(Qd?VBj`O3MGq{> zU>-GFju?8=6$PqAAuaOW4amd0Dth<#4E&w6kEAkZa+Wg%17?9U3F{}Q@=4SkgX@x7 zumKsH2>ii0y^hC%fa<}4w7?tqbQo$Ok`IC&gN%nDW9j5hWSs2WA8qO)oCl*zosUK5 zAvDE#e{_lSKy(&xZs6u3>^6jnrZ^Bsela^h;07`$z{GSdM<^>0Dm0KsYVTRmVkJoO z0_1ZH$qdkx zkic#b8LLH>Vm&BS{ZkV%5|FMh3UDS)Bq`w*gyD>(q0$l!X+o7uH4Nfi0DOLcW@134 z6t8#~tAwgdX)F)vsLG6OgZPmaPA0wLPw6KH@}C?i2j!_`EQ^4fhOsFoJ6HNOmY4YILr16`8%GAEe{zlOUe%A%FX>ATJ!;&iv569>!CNIz8LF^i zpu*wtR6$B08rs6+jrkQLz9c|jg#4ET8VsdBS$V?whlh>%O$L7&IEX*x7paq81e)-{ zAiR;PJfsrIs;E{@2r`z`;wb|vobsQCo@cBPqNN%p0f~mfGzvtj?aq{~1X1zE%E=GN zr7EN2s29ptjYPypr6Za0r9AD+XB?@P1@cg%o|q6}*i#b*5>644i6&)G0gS_LX9XhV zP#UVi@HDE>L^CHK5DBl8qH2%kKG}#@^eSGpSk>Zmyj~&4<2Td5&uFX_KgFMv8(s+% zCc8C#xI#=KM=lp){Xz-?4SCYV#0grUL8IP!-J`fJ6} z;G+uPp6C5?Fd}8BcrpegAI-x_ImYP*&GV^&(IBL(a3lpA^Ds7YO0V)!k8FDQe(()d z3R(H{JE0A)ut>B-LwqUDXVAAw8{W`VSUtxW8;7y-^@i2HF@-l4nh|uCUgd9WJdx5H zFI5PNqDO zXbQob8XPr1)d|9RN6PO%fr}(dBwTo>Hg*`&Ck?8dbtoEKX>e3+L+M|Isa!Nz8rvc9 zSMiG87;o&5ls;TOV|zGJ`jd?}wzu%|pGcla^e5t{o_Upgs(q^PiPCrWL=h={Bz>KT zzp;LPX?^`yKcV&>sXa&1Pq_ZW+edi3F&wGB!{b$$TZdBBx`K&+(HCjkDpi%=< zv!9chzSDpmseo!g30H{TFhc_lrB|~$qdaQBpji_wA{h&$)-T8as1BjKy78rTaP{7T zjGTI`G0sBD!IRRcL7X0ADNj{q(phI^PZOn>Uw9)m%4f`98D&*xR3D^QdMs9S#^n@axhYVy#Ir9S(Oe#C+o+e<^47yOdRb`_#PUE4{Rd{@)a3ozB(}&mXFQpIXXRHe) zpUPh^Py40g{~vYW3Dl{wiIM8SXm2{&?2ZpdO4q9GP&g7_qurYUIf!1piKW-~%4W`# z>2;es)qtnB?8^Sq+j~_8N=FZ9Uht$bQ}H4G%C8=#^m&EOTgjl$UqUuo*=ilHKhzR0 z3e$V>rGdPuO_8l5IgaQ5HQ(&b4XZ!nct-6xlHS#Lsrt0CE8*kS$<$+{KBxLvq7}<*(*Psx5}=jTTa9H7it4 zm~4*+f?oKkMyb}C>C*{fpc<`Gpu$Hgu(6|t$43(QrzpTU@u31Ld6ayr(?-h2C|9I- zqZ~$qM!ZjEF#n}13gLNn)_{x+>_p{I^?1B@B1$i+!>WNayy4JCHuKR=jC!KMTUQbH+Q6Ms;7o4T9rpBi>3y=wLIBte9S$>CA%@PAsYBxn#p`8#|p>+pVXz6{r zQ5veoNx+@x9s7*1bfwUOdgvz2P`^?AMQLhgSQ?cV(UI1S>Q-8eR6XI}5*W*=)D@;0 z`ijt4%v`7<&!CEqm4GrBi6&r*t=AW-=^)Msc! zV|>YNe8Em1uF@A##AA}=p+8#F2u@v`>|6LquBwB^LZTu5xdFLChB9QZ6b*L6>TM?* z*@cEV6^*SgI#P{Y1sJAtFigAgPB9M_Ya&V*8pze6ZFr@SG)k$Y3DxKnR|=~VDWww+ zWgv(zJsjeAnsyZt7BobvdXVK)eXf1gos1AlXya&jJfX31N2znxNeCBcNa{HqU*+Sq zJK9&Mkg6OsJdwbmCfbq4IJ__oTMeow;XIWLoF4|izHduE6GEN;kp=WR&}7`v=6BHH zE?GF$AUD>6e;bw4#njqljg1nm%VVvgbxEvAwE9f(!tr~MxSw!8?S97nR3^^sqWyxj zH{}TUR3k4l{B#lS1K`RHxIEy#0j>bJ*+kUIex6#nn>tJGwkV{X8WA>D5dSP}Af5+a zbo|19um5V`zZ&?j2L7vojvCmF{jVhex>}#cIrYEC>Gg2e0M5t8x%F_j0KSL+O1Ren zb^~?+ZU*cF><8QrI0$$Q@C4ux;4t7#z+V6#0*(Q`0(=Ab0l>l23Wx@r2IvOp4HyVW z2aEuW28;z{0`dSwfKtFTz&yZ0Krvtepb1b1Xax8GO8^%ERsl8wt^`~MxCw9@;BLSJ zfZqZh13V9S4R91N?hs>thWjbtOTf1P1{{tlXQu)Z04_ixAP$fONCu<;QURj@S%Ara zS%4Zq6QC8~11tk91zZGJ1y~E%2)GJxEnqj`X23qc9f19S`vJcN{2uT$;5opHfR_QU z0p13@2lxx%6TpaPu_qMnHvm7tfp8B%5}+?&7+@4&EFceH0!#)>2h0a70Mr3m0Zzbj zz#70Nz*fKwfPH}dfQJB&0uBM51RMdp3U~+bH^3KwZvcLP?K$uR!~=Q&`T~XkQUKY2 zserQqV*o{fxqu~r1pqJLJOEv^t&|`JP=T{q=*XM$o6wHn#xLji|GkXSoC8}dSyzO6 z2EsT7wDArk#8GwZ2nej?BJ68Dc zOv#@Yg*FWL8!*K&2h0Ze-tTWDZyQ`2;_<(V8%*a6J>sa>&S(a zyMAqhzV-kf1UwCR4e%l0UjQr4ZaD+c4{$ah2QU?|0I&#f31Ayw58y$-(}33i9|Hab zuwnvs2B06{Y(NfRDqsO%5#SQQHozXhg8<`oGxSVSHhUJb#kI{IileX*{s3J@y5?a_ zq3g+ubsh@>;q8I27h$D=7SJ^X;weoH-NLTi%*-?`Da$jzwz5Gxd&r0(DT9ZN9#rj_ ze@^SL0Xjk(o-%Ay%E)17%LC8!$)-NdeIJAB4r~xVf&$7zX9LVL;0pE~+N4gmWhe$< zNb@(eqW*|;q9TXD%?P+uv2p?)NdK>3N;9WZ7h}G~?M6htp^65-cnodX^hOH2CUevZ z=*z@y6%fxPqDE1aIqH0gw9>hHCSiFK?bU3y)He8-$!6JyMr^X-9CUD^e{^CVRY^>5 zMA*D)jbv)M6L_(ZlC5skv!R-_n62hL6y(-QaE>Cs$b`?5@(8@PaqwPc{UQyH{aGuT z%9k^MX7(v4g!SLM;WgXo*f{GqavW)t zX*|CW+;SZb;?|XKLLkqPNep`P4InFUETaMp;JXkgcU(+?fih6xSVw_DGBC@rnF7f& zFwe1#0z-ITq^Wk$!QIxOQnngLcVayZ|8^JyCyRa)j&+;uHpm!b-9d%4SCUja$;<3F zQ_5XbT(kWq67WVUtqlrsQ$jOUV9XxeNbFOthKI0Jl@d$;vsPmJGv`I{ce#wTl+Fp? zC5!UO(EZ~m-5BY&n(ss)>IIO*UJTt^ZlmmDCn9&t?b7=)5?bz%-hPlfsyoRP^9u0< z{i!U<3@7$k+@g9AMx2Hs+ny)`mG~TC`!K2taSE_}u#3n>64fWT@gV~#oK4}i;LW$r zgV$Gw{+{+`aOUrlU$YM<{Cnh2wBJT)KOldSeKX-d zB!4m!k5I;#sQC9JR1hWY9Eai2208;_X>0T;PcZoS;Es0&sM}b4spTI4?8*Y=qzW|i+ z0|S9P1kQ*?fS1W+U3x=Y{Ch7_MSNGVn1&SlR&dtkM^fW-P7=k82T>6s6R7##&RG=x zLlcYph~!z!DZ*mX9tGW}VBCtJY|wrd%GYJKB7y42>5Sf6k##VdpIE7F(cjEL^qkLz%D2P{4imV{OCYj z$?s+pi!vb)_Z!ml7(o#h(~7$S{4$JmgNQDbn&&=25h%u9U^Y>~;!H6zhjOYR57ES% zNM{yP2{2urBg`2lsourJ(_}GaQH2acDh+`H2y|_LtY$Jhj^2ks)a^8IX5HdQvTln& zD7t+CKBjJFM4DN5v!gFV%k0tOWsZKs$md()V~+lmZ_gVrm2f1DBJ83{5DcKane8i?+F3N04FF*$Jr#1?K4@>(cPfJGf6?` z5My?x)I}OGGu!itI-Mw}obM6?O6AD-J2BV|EyOs+PA3M9P0TTF4-zr^wItbi$w2aT z6JHw>MDCbC#?kg0VB#HFcfxNUOcRjo-7=}vcMkc@XI9rXGe<5B-8Nm0Jdz{EJ|A~S zJ|&HXOx17&v2#o$Z!God=p@R#>qKB>1w;?=p&Y&36Uvz2y-KqSF@12QLuY2*E5D3c zxNACw2P=0Cg%br_5wr1L#Z$_eox9dh6X3lH-6brVyLLmI7~X3_emOgZyIN3ZPVO2G zm2}~~%8M~dxyn&Tr}AFeMOo}L?s^cZti6#fqsEZ_kQ^k3)4ahDCw zcoYbZo4d|Kf(a-WN|MQ4gAvN&u4}+;u9HlgC}j$Tpw5hCv?_ zx$7xpI|&tET)+y*DP@JcS6P9Z6_HcGis6*HSqV7>umrAikwYnW-3p#%$PXEnbJweI zrhqk&Q%Ok$umCO#SXXct+4$+)l?7)8cbx*tncUS6&MfZwGenvVjU#RjchO8^E_bbi z)bqHD=G2v(X_G-}j^1_{)zX_rsF(`VZq!Nd1{O124vD=Nv6vYq>Hxi47P6R`CP|83 z8#Bv9-K)2U#mqLnOzB%%Sj-&L8&tzq^LJPC$K$Pg)-zD!7 z6iW1_UK2YV1fmalyG(_2r<^5dgp5sqz|M1a6Ga_}ow<_uQ$#rnq&JhiCFDJ;0ooTI zkH>>Y27-J?JszO@v;alP#pNtJ_h17Xjx1wM@vT5(HV|q~2&K$R=?PJSFh3v%VwI zUKp=2`~x^*q2cjbXYqo8#Y^Nr8<6-IC?$)x;gKu`k~QFo4xWajgPMHJ6rkxeOa2Io z;R!OptAnvRV(?1jj7XaBvE+XekJEI@m7vsPPt#+EoP~P7K5$$q>))Cp)_QZVnqcF@Smc#RXEU?2LCJCOA zdGHabB>3a@&wDXOYZ81%H$0`MK7%R;mc)Q+*+@4fc|?YffRa`v_>K{)(W>|X`~G0s zDU>#kig3VAlSN89q7oAG`|MkSROb^_Ii zcO(B2P||7$-!XC`QEin}DIx5+lS5oRkgnl@4XisHXH{=)3icF zoZx^1r80=-glG<>qL~u{;;ak~IR%zk*#*r8Lm^4EYU8rZTs;91H%uAj6)l;vX!dg!b z2kjqZ+HD#Eoa^)EbbIU|I1dZRL7fq&$ zG8(7HCv4|bw|;&-ry6FOXjMa_*VMus!|W@S?K#IV`vr0Uy$4RuJj1+Rlzu}gp5rYA zZeZFl2L&ESkO_sL@qSYD^j0WV=nuS-%n{g=KL&pCCYLOvdmaVJ z@U6g`*;PWS0=pB7zL~3W1`Sn60G!v~9R;&uD^QhV)koR+>VqI9VtzbA6`w1uoLm@e$aShT~ zDI@hww3+$2ZF1;Gv}V5?w>g~pMEt=Kcixs+X>@;$b9A455I6Sjr@Qhl2r{h)%yx(Q zE+lANTHH>Q#Qh;8&+OP%@lJkahD9Y%5;bmogr8hl^hmW@8& z=H#1&a{pisumY*^-E6hBP+q})+6cgqqmKdHMan6F`vHvZTx-mUHh=VCx;QF?BL+87 z2PfI+aqydvKf@jg0m(-Ep7ZEuz>sV-fJtjFO02Uv-4>B&De|5cF?<(LHv5TX-cFq& z9$EPwQki~Infh_kPwL{1lm4P56Cf0-sHLn)JD!)^XP zuZW$dSPw1Mo2j0?5eYu#f>ng~QK}5nD|rf7oH;T@!exLBe3C8P!#2AgXFVe9y~qNb zJZAY))`Xo<7M11x|3n70XP9}r&)&8gJGWn zD|!Yvl7|-paM(xR3n06fVLKrd!=V4o&YvS~XTkpsQ#<331Cv@IogaA4wTu^xMPii`HUwF zQKSoF5d;>rg!6N|S2xj=x0NnwG{R)kHF=2-924yxWk%-|MQa%8M zzvnXiFu){$VQFA_$vOqfouCZht}P!EPjXhTfP}Fq^L9}}2EaD}(JR2+j7Gy+@=w6} zsebS=(uPfj$vm1o2a}heF#HdItUzc^j78tDxt9a%0!aQguHHbid_z4p9fw3}>!a9+ zLMjJLNyBRa{z<*90Mv_qAK+gA#tkccPV)bWE`!k3-@;Q0YjGPm{M9;hM!+XvN=?X= znlR-@KLiP>N%CtzZbcYJ8;Ro*Dug(Cf8^HEMu$jJdyqAa{s216UVz)tYwW}5IiN{r zN)pP)0PP9Bd8OY7?(wrbAkiZtYv)XuN>~O`G~!4nZTKB14M%DCKLDlzfO!xGBuUi) zFiA!4h{5qB_1*#C{yzFj7!}YHKt}cT05Ym?0^q2Qepa(~Yt{#v^#{NS$Rs!KT;Bx# zDs~I~kECyI*tVizmfwdYGyEh-D-qod{F37|(1(!vJ*ewJ9mR&f9-NNU_|29^e*z3? z^j!eaH-YO6pBq;K_J-h1f1){Lfg%N!!kt!o1?c`wk3>Ewv z$xYBf?A@`qL7=Zdbu`yDEQrxxgUr@2Ml!auD76)#(-h*MhR|q^ax9n8O(NakMsiV( zM9Hz}g@Mt$kf6n$Aj`@^XRa8bn6=G+cxmXW+y=`MM8_>Si$ZqWAglBZMv|Uw;4PDtVo@UWG{t|5Q_{)><2FT9w zSHMp^1(V~ifVxQ_JI7xE7dYsh%oX4`$6o=V=JhBz$6o- z{TfoyoRfxMEBuk;FuOG=a*rr5%Hp|4fFJu`?i2;}639D6L4#-_n0JbTh6?1JqM#9k z_E5~k;GpTxK-;+wJcF?rfj%UcpxG4h3tWIa5;TV*cBtH&o=bWYxZ~fj`V#?f+f$GU zmlJ_-IS~k#6M^ubP!SdGoCrktdE2){R4s7p%o~$V#QSaD4vhB-o(On5vMw#?$=it@ z+!FzB6s6@vz}xOch&U$#-e{7Y69I2$M!+7V3poq$b|DO_KMU~2Ekik6&H}KYB87%G zJ`3>rn119ez?(20&s3^rKK0=zwl!$Wx%;7z1% z%TS&LIJgam5omH2;JuasIcEXho?ZOmkxkQ!h7D z{X%&b;2p%V;hY6{2Qv=mEWn#i)nLRV-A!}eA%ylD7)7>s=r6#Igz44Nsp-lTZz&2$&*PX-QSTcAsd0ng(lU2@Ry zJ590IF*40D{-pb>$>9)V&c6y35wWKYKOSnd?u_O(^ZiMOHJsFY*F$3fisJQi%kbk5 zs<)10ou2h4!(UZ3gB+uq-B@k{<^E!HmWK>~wb$#~yAMTi+tct{MX~;jZls5Ff0YKY z)_J5%a4CE7B#i`e00I)o$AO05tJLg{iDTw@$naOj1yG(8N`OO2`x2D3v^CRqf|z^~ z^N+!{!sbyoQ6O;ZHdNeYlaAx>FFV#oL9!8hn73i-ia>9VL0lNL1%vH5N^zhU1#zIqJcO}q zEfkfvDL8i?rpqEJ|A2C99wJ(crR=Zx3|#9J&c<7V7!Ia4Qbva_aRG_8H=clO$K^~~ zj|H_yIc6;D;b5l8PFgVLF4}l3=q1Q@0V5`!P4Jj_NkEOk* zsRbRI+r{QX^)|PQ&6gdU3t#X$A$G0@>&z6CDLmxCS>`Mrx{`mnq+=qZ$M1B}UH`4uS-K?lVI(_T$b0>W*J zind?87S+0DWifmGUzzccK3`gSev1z5@Og?Y|TBj1zBR z>v@{txYp zZV@~d7iL?jGpt4T7x{N!i;B_UcO_2nwiyK+#uyfOZzm36{JSGo-UPgwHkNuH0=>rq zw)2%OdQ2tX)1te{xNa6(i99k5M>(b^6~2>Te{6?74@U9{0;A&>Y=vfC_Cm~U)NJm3 z4cIRlLLDLW2_lc#L+vnc0-E+6Fnk}N9>X|V5=TJfLcK`1h+K^fdt&VEP-F48%bTFRLHnJ+ zIDmrTI}Q_tg8;q;SPo~ta~k}qfemS4(cVh5ve6+8$sq-R_nyS_PVxK$jCZEzncxRw zXJGACA8F4CF|fW249D{*Ah9|@11dS@e@CWCf%&26K{3Bq%uj#?saQuyO2yt%2@sKr zRnUA-FdXwufyDe38c<2hCvpd{P@6s|rr)LZ!-bB7?{NSs8;OIF%)>A?B#Jr_Jr2?K z*sq?G-B&>eD2|-rDo)m5I)L9mu<`*Uj^!Gx)iPT(ctx|`(3A@g!ytOxXJMCp`$&MM z0F2((!Uaa6jo6rgCAOx1To<<>8phVlk2}L#Izm1^&s9v-U?70RHWI+;0u6FCSfD|P z2KN9+08eSKQ?p*zl#d>C!#)NeVShym!#?W*Bk?S9L_(MwcASKLF9K!QJ_-8>!+vDC ztN0RtnEF^#j%n}%fW&`6Q^MVljKsY*Zs%z){lv?+9UU*%iFx?0XAFS28>lJ6HJA+`?iRVg zNPN=9y<}uz>F8Nv{8iWZY%%^5ji1kP>*9~7#Q4=Z;0_w3)dyS(n`^brm15JcGpxJ` zD{DD$KLC(8LLYK6kFT{0jKoDy{#Yxo73C|ayih9_Yvp@1D8z_n{_-t%fsr^FQ@B-x zTdj>^C6QJ>TJIz{pLw4fix)s*c^QLWtn76GVu6+E+R77RWiYKg3>~IP-|O1S``XGO z4Ia?us_M;g1$!0dqIrS!zO?SOt%hThh}<_BJnMj8mqe6v;G;`tfK>pU-UJtk+=#n~ z9d+Dac=LS-(o`mFWD!0EwGqo=OgBtd>od6*wHbPe!LYa-jE;SglB_@F(y!xC;=csP z%)xqQ;pQ;pPC=pLXIM-uQ_3-e$g>1nUEDogZUrV6!~D}Prv)P9b}bUjSQ4EL*?fq| zp3bWJ`<|{Vw}mUg$NhPRP_9k%fQe3;;h4G0HG`B)t%p!VvoY9zX|2K3(hNuI(aW{A zqloIWO8mh`33mduG{e!_dAZix+Lz^@swZm$zhBUbEQ8M?QaovdC>Wnu28B}=tk&>i9TW? zhbBJ2wnQ|)C%6feUjZcXaC&v1^zgLSZK-Q^zw!(D1XdmLi1B*rD8BJOMt!jL>d!7~5rDahGgOFa0Nz4N^212JqL75T30mZ)xzUW}VWM?*XJ$E@{Ak*ebqs0GTj3 z0Ag?zfOwC4$YB{B)SWo#KxEgpc3ZDfTI)Bq2wJO3T1zffBOu9JPu6DtSO`l+L*r3V z5}k^+gNR#EN;`cM4EA~`NH%|6>`Auz><%|uz3IPF+lzs{PMYD^JOwe=X7knV$}?n> z1B}F9k$=x>;|Cgymulk&{f}$oy=h!C9OD}sjK2ja%Ubt(gl2zL0jg0XBSx zZ+F}LG=Q|{IZd%(nkX_#rJ*t7U%Hl)qdGRy%m=c(q%n-^A0e@FWS~qO{-Z3G6Gw`rUsE z$M~fiu%*2lD;g9ne^1y|==!F^G2I~MB-oe19YL1GG;4i%=CC&AYjn6=GZ1_T9P8zl?fHYD!P3fyC zH)_gM0BNoHn)MIO@<$^{i#7ugnKo{-`QkK41`rp+G-ZOOBj3(Y#?QjElt@YL-Zw>P82Q!zc=zQ$E91rSe< zY08Tl?9;5Hn)0;<7c|S(zQeTdC=I%6R;mWWG%M4k7>N&JSS-@DnD7cB^Uk$yKi10{{};aSiG;F!j_A)>Ho)P3f#DNg52%tkIg1qd}o&-JvNP zG^NU=pcxTpR9iRD*%D}WcLchw#0_*OfCT!E2FEn`7C`EKQBwj@2cd-P%Cy&@hi3KB zlo1+C(yV!!vO-htaw+I31p2uS^bQI1svg*L^Aq0IY7Y;xUPswiTnET=jMU(sQwzlAl!=;>=TeNso@lL^y0yNQ z)|$!IS)psb#sx-)gJt+EwSk-1?Xg_T?71kdDLW@JaV3K#+OrGhWGA*9hB|46v$^>U zV$RMpNTG@}dtO5Yp@Rqm~%pebpZGF($`*OZ3< zB%0?m>%vAi8slL%nm8RzvW_OBKAM(jy?r{GbcyCPqLE$LkN{r3-q;I8Y`~q;YLt9? zbj|kz$Ti*}014wS&1zQaHbH9uVfECMfdFhKBQYTu&$oAQ+u|0d@92&f&lAOa$KH;2 zr!)a2-+XOnDS&ui1K>1*X1%V#0nPeWQ~m&uPHKX2A^q3F9Uw-cfqC(Uj%T67vxF|^ zEOT{k)u0SOwrEuVV&!$s`b1Oyqbcps6EZ+z-7YZ__cg&ctaNm1e!F<8WmQ@8JEZ3E zNzU$fb-)%hmF#~1vD7fWhN5+6KnQeY_uCI*hU{J+0XGf>YfZpNa2s~Nqao6%2HfW0 zHo7VG4oHo5zX!^lhd`~n0yfY(cfZr2D!boXY2#Z+{LRJ%klfwxFEA$!Yw3E207xT6 z>L!WPtYl3Y=u$8-P_sUr+$MQIn&e)1^#yCY*8oUUch;0%n$llWMr$xlv*v2b5*J_> z15X#Vr^m$85A^ia61N8D0mM_ltuCbnfKYsza-F6O)|9cDlBX#PG^IpS)@jP4n(~~c zys9Y&G&rtVU%M3SYY_U2o!v&-C80l?jL`Qib_4wYKmt9XDc@^~zph?0UA=2G#iuE0 znv$+5<25BuQ$Ioi;^`B5nzzVpq!j?tNcU*U!W< zH|kyT1MhqYUsj>-#ATmUxV&$+>+(?m(W!PRMu*-&=sV*Vtyd>{w@`12)|;ip6Zvg0 z!(v?*e~9Qjias&Rwfqu*Ou)A_<)EhgTZ6Nj^}9>Kyo24lVqLodHW$!cv^zqJPI5(k z^E6oDvM`mPd^FZo4i@Dvsr=q%C+L_=u$AeVXj4-Yp#`;i|PY& z_h>5*Yb)C{sBu{sU{LO>m7_&DgUYS6aywTC=dlni)S_KQbRtDVXSgfLRRGeK(bzOF zek=}64C4c>lPWq(sWZ0F6`ctn%TVA(H{XW>h?Q|wZYxX&kPX2?mx4=YFY4=a>pV*A z9;4m&3S6D90mRBB0CC`jCu!6c^}aW`E(-uCj9aR(J3`yd6T4m0V0UA_Ywj@@7>Q{R zU8_ZBi)ep}-k?RtX)ql?JTItM?g-_Nwemtyo=xRb3tZ828dzGni8j~TrQmiDto*61 z{6nlfLMzunSt{Sx1-PpbgpYs3yA8HpL|>rjxAR@m^BVYT&&}(VPeS=Ntz0R}$EiFE zI#RJ)HMmQI2ei4zT?)RQ3TTXh)mU44N-Tx+<(iFKR$Fa8Y$v;2ie3Rx>uLK0q-BpB z4Y^L5;p}#UAm-j(>`?FKo_V7V*dr&*9^!0*AR2LV{(0i$>-}$_Jqlq zu1O=XzAbOkCh=UqHtE+7CN;w`IkCayazLgj%uAT;)7^a~1d0LoS1b%^dxUIh*S zkRdgo+@<7duu_BLl`iX7fW9(5_-USXZk-|iRjvf@i7jt za1@(~pTw$lO~y2sJcj^f6n6;xT$`LslbYd}`~za{_-G50f89irJ7BU^4>!%l1#(?3 zfU0j9B&5=J14wGTAHW&;J+Vg3*E-jW&QsJ$D{^&40*KCJO_{AJOEqPUrab6Uu=WKQ zM!B~8pxFJBb{9={?G^(#%MQOS=093=lZb{~57D+-G{F`1_0g1cP04gAMk2qwt!biL z`7L5)0Igh_K0YtyhqPs-2lA`>Whg|0IiF*M9T^-+e09m=SG`Ll74ITiH?kwHxXkq1s zgS2bi%D*QLzM+HZ6J5KHXs{JPsXgKpbQMh=ZFn=&l`Hi7kiJ;Fb#Q;H*C;_H}Lzz843}=wS8)*Y4vQJOki# z4uCj#UxT!VUDgBuabVRqQA-TSEbZV=aqtcue2rltP2YBk3pxXcgH!-t5&HXhJf`y!j-X1Qy!YlF!i%UzS*f?n1pOK4Iv9FwUKbEBLJ z=&ULoF#z}U;`qS*j^07M&-4IP6IX&B3pzIgeHvUXTkX0^3yK0)8srVS(hSGdYKXb6 zo&)4Ka1I7XqBI?*t)!rN)aR{@L(rF78{g2-Oh`bW@$Hc!kQ&_{DSQY|i$cOm4thb` zxTI~Q4up-nA@MgG_k-l#9@(%?FFzeo+mPN)CC4>X@||1UN{$Z-09PtGfp#^+spLlx zb1PW~$dQK)JWNh=O-^bs`G+<+IcU5#Igcha!!a2!vEF1yK*!`fe>`2Bgj?wEp!?1D zBKWZXD(J>oEWaOtL}r{sx!2(_cyP6^VLS^Dz0DDRWxp19dYhyC%1-g`NNXX+`Ja%v z598DK&CM=2@_;*8z5-x0Kfu(PFggn4wQAEB>f5S2|*A#@jQUs>j_UnuGK<= z)l&QnMbv$!{nwRkxw<7 zbMGb>e62yp3YT(Kl^aUA3yjX0*k{~y2ihFXZyob*oeZ0Kpe>}$MPNv`bsn36E&M+a zL@>kx0wz#w9%zq3tjjcj8h}`G<7$uxz`E*1D**Lert8C0s4v!ke6G_G&vC-xY+0{ty zS%rQ^uM}{vK_-;M?3V_Gr7WBViSyy{-aH zQgwF?+KzPxW(L4XcF!6BdDwps0LMkI4*@tF9u!L$;f#{Q!gb|40RavH-+}S2%GUr? z*{fABdJNyspi-_^7qD2fS|>KYvWA-ZMu12Ey=J;XL8+Hl9~J3!06bQ%hr{z%zrRf( zH?~I2;A@Remg@WjAggKlE$+i^uWInrWOq%Q0l)GbT%T#~qdQ62E*P%C6acYPpurl= zx+cd}AELqMd9HBJ8E(rT1K|4N`wf7bXy2Rj-Gy|*0#|r3fUwpB$ZeBn0A#V<4h+DL)M@a$1~c!(*Dqn^@~zTflLjwoFm0XNgTDeuGHbuy1^EEd zP-U9(7=R3k-uJmO0|DeotxN#v+?g7f8(j6P0mSkG4ORh&owqgR7Xa}UcE2ke10XWP z0faSMvsP->R?XU{S)Xgxp8ygMzlT;p8x48^NIdtspw}Y+oOEf(0`+sD@jTrI0hy=0 zA9Qqk4FjOcVn?NEk)bA^)Hz<}xa5rq5R)A5<>wvwQ4jfW&pY^V4+&mAFwBqD)Kf{2 z53us1AVOUM*#|*Q`Ou4Xbajx)wKG*d60=%9KbQJWb763SP0e#KZ5n-cAZwdSJ{Oag zfG5JRBAewqug6E|b`f<}4Jlt#bN zU^*JNh=$Q2ts6ECU~P7yoM)Kx8dFY?U{yBQk@t_)B?xe_bKNr^LQ&#rlK=Sw<_>beSS-t|>lXkDLO+S`9Ew93B zyHq7*8t~nK?WiAz(AmH(&`PvHOofuuz=y@$bO@o0svHTV(yb^PooV`MsGK#SeLk=d z#h&GSE5%zZsYy=)y$9i>7XW^ymf`D)0D1exxIUR-y((du+=r!xHmfJ3e#hFpD(<2e zFP>(YuZbXVzG3bax{*>xp}B!3*S=I4oO1&?n^LnlY~}`Xyr;fKt8)W64?12;h53OP zXhz4kf`JzZ9ahmV`GSr9lQ;k}1FO(C3m}M3)4_Z@e*X-pG|xx$+x?@VJQ?N0QZ&pw z2*zxt-CM-g3NU@h`n;!T& zWYGC9%ll23`+hZ`r1)6BYXePw{KheHZ6g!cHZpOom_TLc8fH(CF`|}*VxpzUhmcA~ zU=Jr1=7%)~@WWpYV+*Rmv->{s>7+Ig^XDF_)&wPkuEDtgKG$Gf>vh$IciVS ztl=&&qK=|Httbg(2S&;#7(Zq?KSq|;jv+>gZ)BN){B8sk*`N4|pEQ1L0*YPyS+wPz zb~~{WkAN6;v}m$&T_+C11sja}sM|?h4eMRzg7y=boirn^a0=K)X9~|n%@5K+yc(p1 z{Sk63iLRh80z%RjR|81(E@(=OZj_}iFb17%4s+oWp~(&YV79YUHc}>F1X%dC8$Pi; z7zY4`;(Rj(4PX;|W3kRAkHQDzQE#Wn$GpE4hLQe2Fr;3xRu0AFlIF9SwE3k^pzdf)m}+qhTTc!V}`|EG-w^)`HO0!l>Q=U|0(l1v(8 z__5j22e6+uSbMR!kZ+dr$;>n!(1Oa=<5*5^X}zwG}DNO9Cvip&cLBPNL*P$lK# zD9gj={As?GG*V^lf`(kB{|;s>iO%J;g*M_9ZTtd&%ReXWyBehG(P-8<0J%|hEr9I& z`nnXucLqM#_D0l1)@7kYuor|n85~})WiU95*66NwPlVJ9eur{e5JPwPm|SVEHlzCdjKJSgB>!J%C->kcN35OJW>9bP;E;LeGJ2;$k6z0$BI(&vzbi zN&NoMl-bl@gSi00TBRuuYw$dPZ0L7u%G&@G&N!wiXEjKIx4ZE2&D7vw7Z`*75sFCi zq$*}VP*bHP@}$X}dd?K)P>%mm5TJq~BZnm!IZX^dst$`}vrM&2`Wnpd)j@$xmiiOt z(o~C6y50_jjr8GOaMG=L7{{NZY?gCtE2Ar2Lcmx{AnY$U2-f; zoyUCk^>u*}Rm^&Kv3UQn3Jb^wGRpd5mbDwm7#vP#jE8~v!WP8-=E zY?U=ZLhou=vC;~Yp^Gv;0^^k5Lb)>?oQAtd4!o|`m#9#eQJ{Sfro)Xw!t6D zxJ@y6u=xQ;uHUBv$e^1KAY+Z6kCBOWT7yogx=^OLz!-D`Be$JV!{uTyE9R8(U7zgj zOD6lvez|X_N*!WJ+5gzj-G<1`^hW_z)eD&*tyV%n#{;P71hZ8c!mk)%fbSz@l}3txllR?Z^gCrE>P3$0KEg~$HBedBm$%>~ zIEOI~;S88cu`Q6`eRNKCr-bf^naL$0O@`w$V8+Z~h5Jfg{DC#O8HVNFc##HcHFy<3 z7O-ytBtiWKAbSXpTic8)U0_6A&B4=Cf<1|QtJp~(SXNttbr{XYu}Con+Y=?z)AhPZ|3}=stEUb%u{19WjC|%2sNIwb92bl=E znvw*D!hI|WP7-(yY)JxN09>8~aF2nl*iLMX06R$n;B}e=;4m0~Y+>3->+(BQ5kPc9 z0F$7(k^#gx0nB0mBsc-&=m75iF9B3EhhBu(GQf6QKnLJ3qy;F&n^3O%77gxOLT@@b ztsVFG&^-x``zY;x_L1t;0FNm6b5vGSCxC4X zfCMLie}OF>@E5?}I$*>{%zqcT%A4HGkL1;a6h~q>UF61L6dLDw&2BCL?=ymti0@6!Gy>Gl zV&(Oi?;01l`)k%l_SYW6j}^|m6a>#lOnxVeG}#eppmD#G{KnZ{hVg@p>J3WwK}niwG=%)sJT#T>n%=md2AjTt08OU>WC2L7SgI+n0Z5D|T;N;+ z(29g;8-8>XpQY%=_I~M0SnskEq#MTrF!|jL&`Ar#O__+eo1zWg1IyL!j$$0OUug@XXm8cp zC#kIk9PQDUYv*h2=O$_GzqEGZ&Cu2Yj`oInZC`OuR2uR6UethLwqeVD2q|gDA6$S9 z2)C(nkDE1?*1XEd9Kg)J*<@`-qWw0r{Wv=|GuMv7kLEo3H0wDohH@KMUWcbLQ8df* z#-Y2Ru#pNkAS_$>d1PTJ#qLv72bR!<|{a63se3Z_YFjCxMS)A_I0Nhson`+GuXy$&o?%C!ifq;W=j+;63b4O^fsA zVE7OcX%dGh7}w&_Xe!Ka&t9a$E>=j>9i`@wa+G|v(3hK*zX7lYzQJJ1w3w$sIe^HV z0+4>?Q#&Hl20&Oo08t+WKp7)5i1}}t$&;dyQtxT3_o%!@hLufZ+}NK@G&UFkR`o!Q zK{Cr(8jo-08_VyDMI(*E#%LUg6C^c3ZZEraoFuj*pvSW8q@ zZWe%?BTam}FYZ30G*xV{W?lXT(jbBp02^t8=eVAWe6RF9@7n0K2Y?~9hXf8FRM{-| zFj@o+-~M_ZY>lt5d+Q)Rav&c!1*A!1@aDP5_e!6It)I*U{_L*Igo&JrCt(ELj_UCt zfi8t05lD~y2HunGvHPXR{scXiC%H_sY1Xc=z#_fMozqp<(HWGdg^%7FN>GIreaKF?im64^%MpZRh1mp?Nw0(O1#PE5 zP*$Xg{3f@Pz0t9v_7K(H1PN-sKS7o+TARpCSY)!!<@{3LNu?k`XwpXc>lgPZ{>pBq zH{^OMF#qC_fD9o%mJ~~xGwJ(7LMHtq0QHkzzHm|=AF*CG32CWBJW^DBKriJw2!@v(d8kK zqF9A3055~fD zb>>bw=6Lf1h;NNsdmL~$fps*of2BeW6bvo^=ge=k0z;1P(pZ7^F^`TgaDYS|_Dxh_ z*wYCZ_N@S&v|QXcl;S4wjRJA=`L+~qXfQgKT@9Z!B*^#|0B_r6{OST@B5wvJAP~$J zwANC%oy2n{4B#oZ;x9%5Z(H&ix@eJjkP^IW2?-~mjlgPv!3FJO@XR07&a<3H49W<5vUx& zz7-nWtHA>rJnjO+H%z06yPINB-wbc!iF4WaIg0Y-ty%ot4ZhUV=Cqzzp7>Iw+1G&- zhgTDWXxhi1@)cB_#pm|Zz+{!hpa0;?lVj#AhjG5L^pAe1-DdU~jJlI}H8F^?UJrykUQO>Zr|F*w zX}T=ycG%_1Gsm39-;(6ZYL~4L_irG>F;Lb4#K2e65G(hJiikN=W@mTEF3O%$5IJSe zw8-p5^A=+MbQriVc;+VFZ)!N;t1AsWuVIjAteKa>iHG5E(0GgULMm%1j3__khcs3)X@ z+@gPa7>i!*2CkvZPVswQzP_35u zet`xp7Q=fOgTj*h2_qUf*igG6z&IYn6W{2Jp`lIx^ zehoU8)%W^F^+j;~5uDU2UD|O#;}*tEv4(bJD-LR~srznlW71`g(!J;oT)=uwRv{zE z^}`>iabXB5F^p0axWS8N3H1;T@8S09h(-+|!*Zn09RT&?dQ>A3bo`Bu6{IoRz-=%Y zAZU&;ViN#glgFt)ruKhgBCI!3iS!Tr8{~gpK|)N(s}SochJ{!S~nVK z5NuPQ#bhGutS2ep>c*M%8rPq2a1o|+aoYxaeOl3VL+-nL=5j zvo)r{E{h!+hGoYF4)UHJXq_7mK>9+yb8=FwGXdh9k?3p4xbY2BJ%JgZYnWt!u8rp& zEg_3F0BSSvY?&+gFj<^O1QqUx?{e71L?9{f}de*oKXihD#AR{ zQbRk{C#D7&>`EI;TxNL)J2gG5hYX<%r;j%DYsGdNs#$H20fsfU-~@XE_*@n-inzWH zXHFhbFXnZY9=66vHxx7hZX&r_Qn>MQ@yjB^Z+VJ&2y!5fW;A-oFs4yIOJW?`sHcUH z+1RoZ@3_XK%Uq3b)v2G$Se}Ky#%b1FmH_hLhHO0FLY_J?(n7<%?R^HV3 z+^nqlylZ=28#gO&PT``s+&P8u*T(0~$(mI-E!z+&w-BG#{W7Vkc?J2G30zkAyL3Jt zQZ;5}FY+y3?8`z7M$z zR23Acr>takWLdI$(kf8LmKEGIqZ?$_SrzKjWxGb_b+3F;ZCh@K){PtotEQ(y-oF}F zJ8r#d>PyMa9T%6Is}`54S#|1l!#-6vbeJROc~8Bj3e?+6cc~h6NWHeSPIapD)vCZD zYDw~vlv}5y78Z5tE3!V%c6Hy1oYc7N+}!L0^^K9N9$4YS-yAjake!?{zV}cSn4=cw zjHIes>`QdjstXqi%E7zG-o|%ND&OPP?iIU|)ko@-dVXnz`T&8vv^2S|dRrx{-Ajwd zrgWcHbhDb5qh4KMmmU!tteBtY4Bu6!QvQkO8y*bt^DE<93g6=RR>C(tvI5C5rE2lE zaW$W&+`O@ysxUL{$c)=>2FLUq^4ROo^-xQOq|Cf~3EI5At=5>O_8Pmb2suveM`&vu z-^TK-F!x<`YWWZ}Zc1E9uG(als_X1XJMwnX$&`2Ljih*0f?g|GUZMUlGx67lK2b%< zDS5pMrxz9$7EK?gX75vBJ~cm6b+8fL8g;--Rxd1PiQJG^`zp6os;eqgn_abKx2wQY`|UopWf-oH&n~vxQQu>A4E0z& z!m~5Z+40K{*zK}7l$6H~_1N!bXVk>Smo3K(*y5?M+e7cdB5RVVrdELTen7=Y439+X zx)vqRqz`^%M~U6*v5c|9tdtfvlusXK#k5enR@ljENzP*#RgbW>F_1m#QF~ufN^Wd! zZf@@?b!g$XUw&avj#WqeDrP};{d(oK_od`6$W>mS`VK!WxB2{bmAUVco7JaF>_~*L ze^DvC|Ij28xHP{w-)bK|KVSdb^gsTo7tZLz`;HxK0qph9(sV zw;o{k+_^5s{m#je!B-505^ z{gc)GOLmP?i!%FnOBg&|P4s2=8JXIvt7<-EA!g$?4q?^WKBPui&zYv`iR2TwE4{?- zr~)x*VyCZCyO(cMTTH8qsh0Ti`lyf`l~;iWlOn91%~kOVE5ZCJZ(*fckAc3^->3F1 z;ols!f62a#{FMD__BN|s2pV8@zP&GUXmz!8ae#7Ames{LG8`ILth!uFh1$b5svIG(%++ zt5&=0kvlSSRerJx*`>TgR8gb~8lt9Es8+>TVG8WzlDG^Nbx2K2R#8J%rKGQV?MPu# z{Oxv1^}306lpWRu{bH%2A*w}zT5M;kUYY8K;@4GkJ9Br+&`S0A3JjaKa7Yze+)d3X zz|vG`55XwQ;jmE)nZd0graEm@WTcPppQ08fGXt5PV$Z6wq#6t{(7) zT2*9Ms3u6n57>nYw>TH?vkUvF`TO>Rv#nZ%TGyI(Ssyj4xE3}{`#yEG)!8(M#ueH< zlI{4fOR!9CQ(t3!d~gNw!5O0>c6=_v8&a#jHSCJaRjiH2_Id2WRd(pAjOt4J-Rer! zG|ix2lviwo@d zeyXSfjhd_$l+MkdoE6(b1>1G|?JzqkxmF!mUR*ggUcF%E?2k){FFCEk>eSpJg&FA1 zP*pfY?OA@RM7?1aB&DGGnYTF(x!9WaF%@c`vLlD7?aPPI#v#+!J2&H+6!p|{RP``* zR*h4OibvVo>xQW+2&pg4g7oQ0X^3p(ZqU|~hUamGwy0p#ZguB!bj~GEa#I$bt{bX; zUj~WQ7A5+qB?TjPCzseYwcC5|fZwd!F&d}aHQ8O&LOgA?+K#bv_pe%@@@=0ADbB3T zu&dsyyR-USWhKMK@?jrcbo%J%xi$9ns<7_o-l@u4QJbAnSy_4e=vRM9wsQ+1YDd-B zQ5r<_I{I^6iCa24`qiH^S5=pljJ2ba)g8-DRjNx?!9u(16ZYr!?WamI&aED>?&zS; zlkJ46-r{kH$Bv#F|Babp4^6otxwd9(eEi{scA6bolADxKby{ThdF<0zx`wEr(s}pT z&c>$HW3>yvW8REk)#J-BWj;eP-?D61r8;31tIf;m@V8VwvMi@kePI=!%g&u%X7`!D z_2*&p-?I`T%+smUZqACkxIGeMz3zw|xoQ>GifB`Hthl{M-H6BAR6O=2sl$$pON!rL zmWp4mEKnT}mDqa@t5H^WQzg`?LaU?sGX`5n(~hjk*pEF#s6FZ_`ztlCuIGn#ygeM_ ztKf)TlARKNbbI9)$Pl_wX>JsGRZB7G}k%r!AzMFl;;`RbdYE>5}5?l?_VI7^FMM}fM-?Uu4_leV?7>B+cmW^+ zyF6BOD?4iDY*mm`X?Lu~p0s=00i$XYK4E8MmQR?7rF9YN>Ff4d1fc z?tD6Qe@)tx$a`j;Lm(hwnVI#xad|KTpZ$(yJbPCqq;n*Mp!O41>s{ht-4O zb}_PUf3;``Udf~OKcilpQ9Pi}P_$9&9F<+HS{F?JHRZ4AV-lwC&$lzO_aCW!Eh9Z+ z_fc%>#6z)1JrrRd5-ioWJ<#p6OZD8Qn%1d#c1}^f^T2JJcTby;?v!&pYQ9}sqC#^n ze57XQs3M>0ZkM8lI~eo1=hEXVtERo0(tGRd%C9P4rG2$)xgB|!d)xKub9@&z(!Ba< zx%gJxn=3p7<)?;C=QYkkAJU|~fBSVaRY*md`q{t+K1F??dv6WLFL%E_--6*YMpGyF`QemcTc)V+N9@pt36v zz4|V%t^~vP=+PU7;eu_3-A>&Tk$GC>o>CWP*vYx5%1`q${Tz*7niZh@%rYy{v=hqg z_w1-Lt3yOBto|5L3eKrpih(aSw|Aj%$Gl`I_<(<#n`yVLtcu0X6Smaxh&m8U<`sje zDzM0%@-J28OMGA*jG&9BmeEjU3p)pef9KIqWeXZQl}G)@9L6zWJSL3iMrU#{dL}#v z{H-nQWC$M;{?-=6k30OAg#V1g|48`H2)|}}=HIGRD^>a3NXik^GO7+0k#R}6X87Cp!T7tJuA!) zh54kb_O7VCB%F7J^Xv>Z$lDRpyNvZVd z$w_4V8Eg-Mr@!W~@O!XLw|~yTcw+n)gSVl0$6Og|!HoY8k^426Vyov;=bYf%=4PtR zi!0O#j}L#7kMvgm@z}g7{3V#<1MC_Uz6++W`^4UN!NtHw=VaEXupw%8a@n}T1u9gM zvnR)Mzr8&rDMdZE%=Xn*S65dS#j34KIBMUN!M$w(@#n#8-YsJ8a|y3%Zf4~*@pfA< z4+V1;Y!&7q38GTseP5Jb5c>O~v_t6oq#g%Rj~`KwGSuV8#lClYtMwk6*Vf+zC#!j! zc)Mn^*6YN|_oBI0@X4j5Z*y5!xU^+b3oowlk`c zr<0E)RoZP;j-7lINhkw*qm&{w#4ctj_h&4XZObx}Qij@X?U5polu=Xz5oFcD_7GAk zcUMy=WxE=K&3ssG3EHn3LvkI~8eb*5wJMnD%$}?KQ|4&PQ%w}EZUBna&dD?+?0!(+hr`?A#N^; zr8~sZ?_%lhFq=Mq5ysuZ_!0g&p;v{mr!NU-74G8{F_yb;k)-r%SWZetQX!=Nh+smy zkX>x=ua)$1A(Rzc> zd;t^cSjZ{$=p5|Uka3TPa`Iz1}_ zr6Taqco8`03fwIMzlgxyBJh|a@UAOxhX`C0fjdOt8Asp`SD-RnI{e=vQ7IB%IzgOw zB{qh09Gw<{jUrHobXjt)3{h{9^0Ar8NvSA38qQAn)KP!SRliLl_)FAp6Y*V61T`VL zr!R%Er~f%#F0&pF$w7ba&)w8DzNj~?{t?Di<6}qZUUI92d#hTUd_DNT3jbS&zcM7} zoNzgU&W3S)`~%TqjoA;vcVTSs`()sKCqwcs8LQtoWAy=Hd?So94y|hT>nRzn<-$89 zyba`mb3!yo4KtJqEqNjv$SQt-=pMN=iwhQB5!l)L;2QrDC7y1W6e@^K8!sM3R zPGRg5#>>KZQxskm`kO+35ka|2>FZL>*M#{x=2t!^#-92Ly&_@1BkWg1cduKa=Y{iu zaGn=V?UYP)61%ELaGm=_P_n8j^p#*=oqN0bG>E&0XQhdM$Cl;tJ-L znrRn)#SlSyT++{H;^=X4^xCYm1d4MYT>CYlZQ>8^(I!d@r00!nxpb)(Gc1q-&CRYUgBh%bd=Jwa2SsCtXdD!cHUBRf?~BF@ zqVc|H+%Fo{VzL@>?h}cfBC$^-s%B@Z-38m!+ul<3YC#Te$(`=4KJ?lZW%mC4*TmO2 zW9BVc19pqvTcY=f6H5w4R@d5dFugaF>1?lv><#s)IUL6C$fW)y1Q*Cl$X+WOv+qNg zdEcKbd2w|((_vU2Qro^H6r0nyL zEi6{Wb1Sfk_u+3c?!El5)GpFh% z4#-~IRbQB_(02=m;AYqRw!N>+jxU3VJD~n4#&(IZe~PjD#aQkB{TO_R=M53qBLZ)T zz};B(oDt`yxBodPA7GzU+qpFGu^6Zp10RclE%O*|Ewp9j+vj-}E8ppSUJgGU%1QpI zq=}~`lRU=>)FApzz33AndQ?Q85YcCmtWFe_s?CAB_%~&2rK$})WRI(T5O=v|+b^E( z-L+(~Y{g%YZ1le9zJP3$%jtgxp8hWDTtdDg)8!oze??rKot0V3i@^s%abbmlKMks5 zbJb&kIGOysXqOb;z7^LH7{&*i=BQ^D;1ZJ5QFiW@H ziYUh5hOS*=Q}>T>xh8pM3hrA~+Tn6(cD23FuB>q{Ge45c%xB~x=Oekyd|>V=h~m<% zT9pMtv;=0}3zxc{nQ13m?VH+_FS5hFY{j@X2iydcm00N+ox(;6st8W_GR!Ea8V{sun^$R3AP+f$h|+g-zA5N^2W1nGey-J zb+xLD_hQSGeM+tK;BGIj`ExLB-nDT?s$N={V@LH?YntM$MQv6LkjF4f@G7*o$=`Pk z7t}1VI+!YzCNBBm+()>NK&)1!z(ZZoDRl(*njcx5v#z(=HSG zz`R~qyv`0=1yHfDxK?3+)Z(-j2A?mG*tP^S&~pnBMZTS**81aZMr?NAFkE*)c z|0M~CsECTVYsB43NCM7)qOt`+F@z8jP;?lQ2}F|2n3(`k6x??Oao>XbMwPl-m!h?5 z`>LhY+E!7iYpeJcajonB`~1#5lbh)K|Ge-0a_jqKa& z{ip1vZxJ6mFVL^-3J>V@;p2r57XA94egFPp!5-WXHm?jnRw&#wu$Mg?litKl%~geW z%&5?Q*74?6PJ2$DTR3A`;eqcJ*1lFa^u4E8X3T6TZT~$n3i~YUb9?(|uq|PIzxq|- zj_+}ycIID|S|8nFD0;2W%EE@%SV$bs#SIPrEelWJ_L<^rRaj!hDm;#!?mM)uomWBk z>Xn-|eeASx)sw1fg2_{+R0Y|llT*689JIE#%t^H+a_K}%dO<4a=t#^-W>dkmipdq@ zYLE@7Iqh?U#H1;S@ZeNhIunrl!_J zeX_YZU9TY7`eZBdb-&r7FKlbdrCes&=4>t)IxpRl8rqOrFm!w>JDaZk_|!S zl8*0C9CJ*C3SB>Meo#?aGpS~#Q`D<5 z7&qmZswtH?Q_ocEf~K}~D-kNkD@b!Pn@c3?>rrqzt8sR*j3Osg1wWJS=LTBxKOQ60hd2DxeD z#!Mblt*qyzn;Wc2EoDa7{6x-bz~I6=*Vd6B4w!6JcFihO8+i&VrcUQAZiI48(p7y| zo@~0ktv(e@7&B?|^y-SBW@1GpfmLPou_9XGQ{gbyz)I*x%!~y+(3hYv$y^gdV(g@v zTJ1v%Y(LZU8?C(4YI^awkt2&^CBsTei_1&P;-v?d#fpctXv-##r)GK}>6Y$`p zer~P?&iYQa!9^!eneN6?IF~R%C|pY_mkgS+?CBjr^%U(GiRwv5PGpC!9yf7P4UMRt z=;%nbX4~8RP*%qE^Yx@keTMPc*qofp3$;2r=n$K7Dke{xG{J_4b&*X5>|br^HrGPF zffDVl?b%dAIURUXJAIi8Dyple*eIj?;lz;8cuO}n(jZpgBs-f(SZD85$r#q|Oi?YP zTy1`&B{bt|{~tHe4dKY>W0&A(WerJMp*h_;x7hwsKJ5a`6HGMhu<1gZBTJ%|P*ZcWFOJIB+LTMoZBEZgHZ#<%qZ#@f9Nav^D5)MZ(yU%o5iSN*X$f* z=V~%lv(#sTTy6E3N*iG6wG)#qa_<^Rng)4E9>t^=f&>+8J+J2_&-f(rr2QOk@$Dqd-6<>`*X{V@hCI(V)cEo9({vp<0k3I zJz>(gU~XG_q1*qM1KFF#PCBw;YLE*LkWH-_YSY-%oC+p0@bQ^8angjEg!N!z0T1jo zr~D4ykoIMpIdfXg%&H38nW}l`oU5G8kJ?efJx?vz5bl0dS|eGNccaf8k;jl_bxkmX z#fiMSb!JO)k=$AtydA8rQlsTu>vkKww7V+D9?OcVx}s_dg;%NE z45T9=C2nYH$k)WJOwz51RJt*kUNxEJz~nL0YM2_QS1|9n7NOdSaIMswW)|*h23wj~ z?=h+pbKDHX2_+6ZsfSg{G4Jg*KXgKZMLx!(0Q$CTfH=8nCsJ; z*4kScqVt23+MAf!lMM~&+`JU)v_<|XLbqz())B(y4>v0?ztr%ASt`?KtW#3#m<>&h8oh0)hIZmGi!gyCbeJ9yG`kAeYK8T#=Cy|Q zmJEStX$B{R1)`g+*jbYuI5aT5wzV_yYDJZ9Rf?%a^OEh^T&kftIVVWw(oI%ko(NR} zEY>tlQ#!4I8dCL5En28G&1E08=_MoYM50waI4_w^xN*_UAZgKhSYx~^tfZ2&Y*6!36c7Cor9DU46^l~`T z=Ke9Y7tV&JKw)Hlyp7gFq2h6q{vQ?W+dLxYo;VA;_LtsxXNwKB%kU0NZi zu4x+$iEKv;YX~iv+f!k!IJL1*THrA|vrkm0B^EZdF=EZD`%tH2D0x}1pgBD^v4HcL zY<>=3!1xMJ3~e~D8!#GZrd(S?dq(BZdpsF}_4>Df!nU=uZ&`P{0ZWjarbUKrisNjW z;}xOEQb!Uxwm#j`qH0F!&z@A@Luc95Y*^a)5KWhApq0Dg5JgL$Y%ZfkW@FQ$aDH%Y zm<=*y$ZCheP@jcKewbvVr#+Qnk(t!Qs?N23C5#Q6te=kTh>`cps5W#svvF44m<@-H zEu<_Rss`G+qahvcwArq9WRS8;EMl+L3AdX#BGZ!6$+fq&YS(8Or8+TQ!{rd);l#h3 zN5EY-QdaFicIb1vyLPRpw^CpzhP_C5zmK@xzoor7zsKe$7M~rkUxanE5yvhpIeoGqmnD5cEYbS@3n>p&A^TO;E+iSq)RJ(y`Nm^+cQ zb%k=I{pb{vYJ9E>gg;wzzX)h*<=l_Gne%BbprkxeO-`!YBq%$-+zq=0h#Cip_f-y#`1V>?oL1sy3BjiML=dDI=t1jk~ zXIMe7-0)s_ub}E(;9sG05N?fo1VI|>71Vhr{V?uJj2MEiu!10GSjcZdNwh(z7j6^< zpY^Kh^bt?uJwE4RM7#{R+EMR&81fu*nl4blJ^`jW zJ=J?Fv2o_4*Av;ca#ud(h7|^VOY=p_@ZOD!Fp4DjkI`DecUbr0cZIE1SOuW2yzRzq zm!ZGKdIk9`Z|7H__ha;q548sQ999r4C=&j`c+PwCCJugnj&&>L0XQ6l`SuVWjzv1< zbAJ5zI2UQD$QnS`YoR{bZIFx2X_`PGD0+?XUFYmW&P4voc~2ESVBS*&zYCpx&|ZUi zUm?~jn6?4fXte5H-XF9EBlj;3pa~D;r!YZb&FQ^@ouLO{gr5e?ar9K+0i#u0{es`< zV+6>Q@Jk+yR0K_~Vv36FqYg%t+NuU~QVgwi;<#0 z<+32YR3?16O!(rMP=Qnm6TTd3fga^Jklbk6(cgh;2Jzp6ACRB;2JmZFU4g1gEgq#7 zq9>DsLJ3`+s|25i&OTpNOBwYFrYfJ~thuKuo0lDZ4cOqQUZQ{Bz83aL;5kQM1$Nrc zT=xUwjwXR7M^^%?9eo}6&e7ic^J8;s#Ho(}KRT+m>~D3Nx;3B{rDT8Ry$;~pi23QGYw*@; z3@c{00V03JEeOi;aat&4Csq)&6{*j6!>GTY#DcaJ31h~W*9a$Ko3p?6$pnM<>eVS( zjcpcWz2Gh@A>B{uj>FWS!W2djfto1V%_`n$*w#T| zj`^ey-vw(H4!#pd73?P-DyE9{6A#~3O7c>S1K4Un-3Rs2B8N4#M-$eYAz8L}r>L5T zW>?_%yL?2|GJOKtjF3L33~pJBB}+l4h-wn)HTgTI{A|*XCQ?&zs64P!q!J^F-~{h3 z((2p=YSzMF^B--D9d2z|wHNJp07lf2fNR!6@+I@xXgDdCQcJB)Fy2yIxTI6Wa+OFBg^aqd27ChwHrT@-tXg{tm~Q0*g(W07|n78weo zL#KjZM7|A=!L7!E)%lomnr2S{0oL)RuPf7bN8ut zMJw%8^nJ~{sw-qZQ{BG47gGj)liU8=nOjl&_8gWm{PQjP5&oZHnt&Jf?XzpA#8+5f z$zo>dPN|(pA|J%3t+?`t^z88i`6V%ili*ULwIyVF1Qu!9Lx@-D;$8w=>F7PcuN?K6 zyo*%9qqCRXzI4HUB!_{PbiNtny8+uG=sP46xGw;A%0W>!4>G;XX^(urY()}dG3B6A z9@`@~`Tqi=PV%noo|}9JVcl}`;eIB!g@jeJC^z3O(Yh@T{mD(-nWR2+b_kiN*qEq(=MmZ`NhjCb1pA$L3xt|Z*=x7C}7bjpOC#W|%{i8ZE zU_+E&+K$sEhwIII81W_{#ccpwaCvpPjvK3RgEsC!IWl$Tb)aEX-7$Ye`Sd-6lBKO}M2Kv8N zOP^k&TKdS^W;Pb43I-ajQ{x}uTm`F~>rT{fC+qV%fxa$X7%VL46mesrPOt%^?F3&M ztud=usGMoEPH=_M>4J_KRyx5m7|n2X`?j>zff&srP(xCIpSEYl zJf;i2b72beqpq&?GDaOn%=>`H9sLvVhNHm>CQ^(5Lx7QvRs*vfT?IVs==PWM@=1)a z3xK7Lt_MDHbl)pjfMNtV8mM#hM&NEoUjg29wB$;54UDko0hc-YF7Sz?8q)XEeQRU5PM{G>!>!MWY?@|bV@^av7oT;xuEATY!K>cGePd3$w0gvZ`Xe0H z8*_eGTlC1l-WVO<rx{4&aSBk+U$16dAP}bN{P~Xs8l(eeU0o9VtJfOR$&GD#0_D z1=)vc9Dp$y3EsxcU1V3PM^u3uyX{3bb{9K$fu=PJFLJqak2J>moEl?*+sD`E${+>D zhB5k3V>c$JN5*8>6q*7pU6F@PEGX&}aT8;e;I)o0(C<&?>=v^an@*uvr-ot!WZ;re zWS4UOfmyJIGx#b3X5y4H*~iWaMHS?z3qx_zMP!Ltu+1-~Jzc-7&xy>%Xd%H~*Mxya zKyLQp14zH?LZ9J~?>RhOGLC(92oa@{L z7h;^RZ^&u2E^)2-RSVS0mX>dB%SAJ4I4N$(mt$YDZpD~E1!o(rS^-?+s6g`{>Aja* z!dN*AqiljFF>@FBJ=Q;H4+__8(JL5i%aTsHX?*q9#e;~uj;Mod3{~mKIm=OjMi*u2 zI*3;Jsn9agAbZ;Fe(&W(`ZLga=BmM`y)it%yyeE>dpYfSi!e$r2oJo1H!(|JE{x(EwR}_hCgV8-jD~iN43l?!ku%bvz2XHP^tk3mXQKZ&V zwY=7>ox}}RmTfM6o8n!x8v z$hY2S#PLC(FF+L0HYp9oNOmL?!;HIV%uS4GULgZgq5oZ}Iio`y{dh2q*ilWj6 zUS*0|mBv6SOwbG>)4dN5cmzE6;8GWP+4~%jV&}kISB!>LU{<7R$P6z;mYASUmq1o{ zACVidB6hD8MSq9AWnP4PAM%kGdpB|f#w=}-VVJAb6j+V-S`N9=i$6pD>P5v|4p^9_ z@EPQ5FHYqhj%Q%zvr`lA0LM%`2l+2A)jVuA!t zf-LYp_d_1>VoEFRhOv`=o?B6L7W90~vV9Ello$UE`OJ&`(%iSfELZ~4;Ki+wyG&Hm zlaRN(&z2e17nsF47jlso-$FRPIiFg{abDa5S#5%fzW{m3i-B#NFkn_!zKR(f?FDx? zc_1nDISq2A7gs}W@Zv$pV_v)rdBuz3tiF94Ch!YLsR^2KA!M=lxeIcy7ri-%^uw%j z=RhtuL8(P<_ddI{2SG7rrEZ6uYJ#NifvomE4?`aJKL3P#;(az>z)X!<0tZ5ddT}%4 z4ihx|Zy_&upD!Tac(LC?dJeM$j)YA1VjiT$i}N9ucyTx6J}>?Yvet_YkWanXY7sB> z$1Ld~ka91MfmD0ZyMv~}EZB9Bm0mo1GK(P0d_FmaGYibblEo~WFcU|g${kY7#D@^A zTup3(d}V?b?R6T*G0eJT2gt5o90VDTxe03?ti^j>0$E{#u4`S&rCZF>POt#hLfFKD zGr94AnYi>Ux*Icb+u59eVJ40~hmv9@?uR_&g~*F0DCv)oUgw6vM0PNN&k>L#F;~+2 zVNZIm^^kvFF7JG^)tvc`*1=QCqsRtJ$; zCdg+FWUd#-U%){Qvta**eC|c_MeN*|`4nBug(=L$6_9Ja_&4NpFCMuh2%f+!Sn5*l z^J6BCUPhB*CTbzanV>kkEN9=s%;zY`6fbHa$C)75L6>m>3bUpf3pvt@CWy}AEdhTr zZ^8WOya|6oZ{lmh>$Ki=kUzmUuYGVHlJ^qR3BP&yQ+^ZexSnD@beD*(0GQ8!%ejez zS%Lfo0rQ!J>lE)JqU!_Z^9Dp$2`rAkTwp$hSJ3pB`H1N1f%*9B2PXU#1QY%mf{CvP z|GiH@M3)rIXDX!51XU2x6$bNJ1-ak*i0FcY`TPLc@+t}9Yz5iHi`^l6dm%E$1bwFy zN1ZcT0wb+yr{U2!Hij)q1Q7FV3yjj8~ET3<~s5ySan`K z0(%0pSaWaW%&s$xbsyvpUR-<=UsuB{y{905@}lkLAXtc50t^`2bdm6$YPZrCuEsdA z535rez{AB}iozQ97EiTlhEQ=tba}|H~ptA(=$XV99oWFb}51nS+ zepwh>M8kf7&RY8vu6&C*#hsGM^$HsXoF$29#QZdvTy;ibWf9RSm$HeRdacX(#;Bb} zXsp|5$;~*th|wwnT^Z$+POu)^%1X9Z;pX4%yny=>a{AOlR0+b1QtR>;rM9tC{qnsn z0ww3ZroZ6yGhY6(($9G5YLm{QcInDr7ZJjg2eXVKXqJ>ujOc$3BZhZs%!PhgXyp8?-H`U9{T z>uv({1$K0FCt$Fng1s?4Atl@&qxaV2yY&AOw)%KxXpG!u0Vg^-AILc>ScEAz;bN>? zZvU6CTM>B>Ms7O*`#HKlQ0Ay$7^d8WajaWz|Cg}Wwet}ujNE+dPd$-ugkd<%2Ie|C z4`_2V3!LicX~0E}UJTsj=-t4hjy?uF?dYGc33pb z&6L`+SSUqZgnUJBn#bA=BaMfFKRfyg@P?!7fKMF#Z{SNuzXG;%CH7hW2B$5}iFw;? zO>;RqU?jd2xY5y0;0{Oc1Ritr*T6H5{uX%0QGrfonH_ziXChrn)qK9AQrRI{cPoUc@3jw-9-{b2PagF*d*Kq35kK-^ck9@dT#^ml+35|NUh zg1o>v3AETa8u;?#49n3Y87R_Jy3Uc2^3uNQ!XudfV@FNRYE%?%C)mOkE3s|)Su&1MgfnPX!5D;@T4(OYa zlyo$3jH8vnOh=CeE^yQrFGc$8E~^E=X^t)dE^_o@;5tXI2X1rJM_)IC(})NL91 zwjOSOJ)5hR7#;Niu*uOcfv+4D>`o+_N^p?Tsv*Fkj*bE<9Q6gdl#}`!FcQ5HxZBZR z0{1#9c+F_7;63O1KJb~Np94QQ>J#1e!XPNdNOVu2%+YdSw4-ByYDcF7wT=oF8l5gU z#kmSDF}X2hMi% z9N=Z?{rIS3t+IL zdjY?2^dMlAqlW=UJ31LS-q90)Mn~rY3mshqoa5-Zz*UZ34czYN9l#@wJ^?)AsNmn2 zHK@ps&Yf4|2mP%Xsz69X$b<=V%aaFOlpv=9F*6B{au*u4R0v7r0vfAr^hq`787f7-jIm4n>ad z?({lW?f-=l>LcK5N52(t2_IL%2&1)vBb=*1*ZEn0XpTA&g9_3{tES&X01Q__)@W4@ zIK$EN0G)6}inlGf7P|uM2@G|#6ga}s(Lkl6Q-L~11s52t6)bnI0^OFN0Gj=KyHiC$ zKciKa<0#-4E{=S4=OwZPISF}+ zIaMtM&T~|76K2J~1#-W+*9q1bt$Gf4$x*?3M(YG0IoFSY?;QOe*uo96ErIR3kGCuE zRUVW|@Q~43f%3pr@Tqh4l~TYvyL{hp)cdxc%843AH=PQc=BVIiqqTzDovYw!=PG!` zxxNbg!%>ZmgWOON=&`m)_kXb%=>ql!`Zy{mHd-q<$hi&y4s~=CP~oUA^vk65SEbf< zh2Uc3P3BbPLrE%RB-G2-(@{6bDSukp<&>Wy1`ug?i&(V>aDbx+0wWw935;`eJW%84 zbfDhR2B6i^G|=IwFW84CGt4mB=1ZW@DMmK~ws&+8FvQUkAm(TsIMUIHK&_*7z&uBr zfRh|;1I}>tOklaAmjO3BdJFI?NACxoaP&#wB}ZQd);ann@S&r=3Qu)#0K%xkOTe3s zz6ES>^b?@S)v-6Qm81QE-5uQn7~*INaD=0yfr*Yz0%kgTEHKZ}CZNO7lY#RbJs()% z=;gqzj@|}5;OK+EQ;zy7>`w_}uCQ^S!qEvpm7`OEgrl>86CIrooZ{$W-~vZ41g>z@ zr=MBG?u5}qOMnXV0`tQZT=}(;dxB9vBfG2A*~FcfcPVT??#t^dG>#9o-0gXT0k3s&t^@9IbQSPxM}5GZ zkb}EB6`qI_#$JEG&8#OdO5r0M+Rj1;!&gvmv`V1IRdChG?he-)6=;P{^qDKUm4QL$ z0Sg>m44m$05N?E;%3C9XlN|L0dasRhb&R;537l^Mu!W;r0y{hETzUBFX-^BuhaxYE(9fZH7PiSLKhb5W7{>6xh=u;?}52Q%!}7{Pu6 zyyvJuq4{yXPtP8|x&`-W>`~~WT$H1MjH3cQtQCzt5d8~t>-s5?9`*VHi>9b&!PfL} z(=%m%!J^^x(Aml!Zh9_leh)W2xV9FH#(f+84#rO`2X~763yZXqo>UvxqYQeKtzQqf zQ_&Y-M7~grl>8W=C6qQyg6koagBIz*UZ3 z4d}_ONQoECW~ad-PJ1GUI;T?La7T{-COSF^sB<(3x9<`xRJ%LfhSLKWDL)82Xz)fym=*^l}i(_1`V4}Gei`1*- z1V$!?lR(em5>O9z=$Q`aiGUI&z#mr9(HO1)3HI*3nEr-~qd#B-6zIVv0_xI%9uFU#$b5hi_I?sn!b&vU+Ga6*VfYI4NHV@!yj5V(B+zKIN)LJb%hhf(YPMzf z+I=#cIUXY=fu8Xtx*A5$r9fW>bVZ2(bwr)zqN|Pccsc>XA_e1_6DPXqQJ`lG@x7hu z=Obni!a z3r60nXaL>eirx~E_vIF}Ylf&r%RRCH?Q+UjwVlI@uBj4A&(kPzsNeykRf5Mb-6j$$ zYtA;hZWDE-P^%Q=A8E?xkLL;=7Oj|`3LkhvcelqV(Wh9Spm5RCT)NRi`rl){f@=ND z;hz{kwd1)CJz+oK>aKv|`Vj&F{qy!d4c`fWB^{+lzXYUB7-%h@bmyT+bA?vnrSE zT{wH7ex_2;??>Jn;q&}i&z>n*MSTntKEyc6a0;f{3(vv0cP981#!mv5bc#IX+Z&Ct;M0y#)c>i}D*lZwh$?WHE6l1T zBI7ZlMjJ}`s0Y;|GeRy@^1PcxpcEBEs3dU~s707X*8PE*NL-DA9{68qab>7z!bO(x zG{NauVURto^Bl;P&OKTnb+)1j)hZf_!i^a7m*6X-RRT2?4Y)erW(4t_3yl_EW&RGs zS)gJ?_6TLCwqR8GrhqEWP8P7vm+?*lsywuU;76=~urS!8bYW0BWdB~lpzS*4R!GdP z<<5%>@*Z+jCOsqg68CiX+4pphmgPP&+brn!>gEfBT{|Tn!{}te6Go>Clx;qk&oA%b zGs-*o9DG!ccRmtS!Cm`J|7pN!T>)*J+)ZE_OGD&0j%swg>gYSb21m8)eCMc7^eCGC z>h8@irzx0*h%jy5)dCGDW>JAA1^U^iqYuR2hS4m7-x{qGJd3%PkBPnLJOr;AtrF~L ztym}633I{4_HiD9m{AU#Zc~Q$SPInwC8%iConoaHwpyTQ&cnx4hA!QzsMAKA?TrW` zpJNPNL7}BpE7$?EAS&8kUWmB#@`Y209Z*U0&sYKPHQ#E10`tz4C7oTd zs=H$48+#>fzsB0XO7JhEb%IS8hs@JDMFtF0CGxwKV*Lf9*96<*%Zor*=(=q^Ztr57 zvo~E{FnaIN`*uoxibW>0Wfc7>Os^ObzK-Gj2JqjG{u|hQs=4+9wsmwnV0TCN01j~U zK;Q^RM+23PP66s1^@V$uM5me4H1D+i6wWa)a^D?DJ9;7TrK4W~`?xag3yg47P>X4F z3r}&*i-EHo^%;yL{t1@UwAp~mV45 zG~P`XwzRV=UTLS|?P>8!JB5nNm^vG{HFOp#xyVpcDU_PMo=D^#jE+*uifZmYo{9fW zImU+>3RMmrw}eVj-RTn)_vx)7$46Y|-syX!s=_S$Q>XmiQX3{53DxD44>g3e4tB}M zfYFYQ0r)P5YV32^3we-p5;)&{{$C%>K7+GPPg=mXko(wcCNZipjR0ZMQI>dbV4S1l zftik`fL2G-z?qJo1zhXsb-?|OJ^(!K=o7%Rj{XjK!_jrXza8BuprF+1NZ>?A1*c*Z zZIk}&oGJSu8+cPeRV3l9`qrUp2VP}gGOzOcKahlg%TWiz5j{fo!5YQ%60 zR)K{Tj&BX_9CDwQ!me~-ixl?9kVnE6`LLt$JJR`c!sWK9=ouKr7u;^NPH+dti-At< zRI(pCcfn`o-gat!H~eB8gVy}31OtrL3bu8wKCh)?xlxB{Pxu7f6msuB8r@`W%e_+| zx0G`$@{TjmvoXq?1lk<+zN^RZQ)x`CC)|V)@-u-8SpW=hbQ@rQM-KpEjz*K(11EKk z_l|bdn$a9XF=Ztza#{5TwsUkKFxb((fHFtRfukLr3?v+#4YWF%22OSKG~h}{uL5p$ z)R#~txD+Rq%6mskI2->nF_lnwB}QXh1>EWAUBJVR2H~bi*I`P?dq)$^9Kn?$Oo<8~ z#z^!LV4b6H0-GHD4A_dq2+$wc#nD}X10DSZFvZa-V3wmnxGAq9oRq)f%R5?@(!+U< z2~$~wy`OJ%w*;>zJXnTPR0o#M_-kgvS(A&Q4F1TcD7FwbbM zpb2wlgVC@vEI_ru2dK`EoeZuQT7W9SJ&p=iVY;*@yx;ip8sS=OyP)v5PjlevRmETF z0q!b;&r?Y%AiK8UMU3h<3;&FDKfPQpUusBY1lt&`6>N*S%82dYJbWJiAzD8R&@U+H z^Z|-^D{Dc%YK8bK3`r}%AV+-)BajojJ2fLu>+TfLSA(tpN;`#R7$ZV30^{^{NvFsW z&fOUlTR{W&G8FN-oisMyhi~?h0f8SL!DcZ{3}E5{pD7R zMN*%QT#r$^xy!*;s`Mn8tAEr+q z_-*kXoIGLIW6xrK8!?y#Dz;{C?x^$s3OzB1a^{Qr{ z{zTGVk9~~kh0D==PDh`EU4&hO`Ax5D*X!QbVeeocV0x#!-%|T=I~>zHk@et%*PnR0+OG8crpuQfVE!toclXCte?;}}_i(U1&QAtW%2SjrnS#s zun(|a9IO1M-o<3mX9!GzMUP^H+TQ@OJllP<}Zsy-F3;V zr@O8;>SF2Rn7`bp3xj_98uxE7e-+TX>+F;bBZqDCm`;{;2`~sy{t- z@Mxqw@62Fq9!q(z;Tj?4H~oHb6?Q-N5cVkc1m?GX#MuV(KUDPYs`HDO9*NO&FnS0^ zPrmrgzl~wR@m!X`{H7-_Hep|2Uq{^a^u_)sutLN1T0Xz&^?c7`dK^g40R3Sliv;rW zn_kjapKyM9s78Kz)JQK|(K9rD(<}Qfz%Iu0);_;o4qu18jp+q`e)|C4XEv8%u`Mva z4S>(Uj>C?}{5A)E26i@fF6Orf;72CilTiBAz(~rZM|}MAJwBXX^>;gV7j_Tkx7F|q z=P)N@dhws%u7gjiXH|{q9e{qj3;q}EZS0eyzjAsm9*zg?(rNwV52eMxBn@8)L*|=_vv_dy#(;@nE$!G zcfSL-yD=38iR`CH@W>4E4;>ydGG!{ZlmY24`6x~px!8z4pLw%isf>IBmo9u0X%(oq z3BQfT?HTNMm|h{MzS1j-{H7NN?uG4(>D_^T)0+Yx$DYFUvOvG-rGfi5vtMCDFu&>j zflIJ6Fug+1Z+eyBx7ZJIYw@n^SMZ@&Ii?p2`c3Z?{3W&;yFcQtr!*(EGCncAVNi8A z5A$Qk$JY~{r(vgKdi9{+^fc%L*u$9KLg+U=Ir>lRV@xk3^qZdM+%wJ7eVE=&=r=w2 zITM?OB_i$(@N2N^F+J4jx4Yo4V6S0%d7Jq^V>`Ct=m}dV0t5=-}Gj} zG1z!aFDLYy-Z8jKmMe6aUNh*oQg|EIj_D1H{2}Zy>;&qH*?F>7E+&;T|H)pmxSOwhN|5v6YwK^iD&) z&Tu1RT@PGNT#1|_+O3Pi0Q{PeybwvJJ|b}-bmQ*I(KaJ{(6*h4wl07zCpj~y@Tgt7h!t!px^Wg z!ltD>LX5Rye#^p-J%eKpmcabh06*zW))CkO%x`)};RR8aX7F~7Zt+t%kgH;om)>G4s$#qc5QQS6e- zXiMyFOz+FrkNxh%uAu#Ntn$y5`uJ0cGYzZ7PR0Bt9!=M~Z+{+-wPOC=3Eo|AH>^3| zja98J)W@oWo)OfsOZ&(lFu& zeZz0JUC4!6>_JR#1b7U467yTsO|J*=o8F`NBUW%R7Y{MN>6MDhuoc)95qG^^@gwXL zOfOjUn_jed|0VPTrXMwGZK5%&wUd6L=r_HCarULGu`vBSQ)@@{k;3TM@3$;|OE5k9 zcqisJ@d?WqPuS6z-;RS1TF!ie?SlEO7_PT9YK^2doZs|HM12k6&gD~3(!;df> zTjb}r)!TCX#QZmIy!#(;dkI^MeTex@&kwC9Kb_(yy&BZ~<#>@p@|`OY_`RWV>@`uW3EDfs4J~ z&h(CXJ<#;7dF@)dIIjnp|Ju`h{2ffMS>pAMrh}zk?__#qUhiyrG*{-d6$ZN)`hSJN zuAUke2D|mpyZ6w0^w7mU^qxKR;2wIfaD+JLqTtgX)kA7;HDLkmU5wTjATQjbqp@PgKOW68Tl_pFTJ-*pDF(* z`A2!=&-@pxf2b?|GU+E+Ok0ov0qfjA7mG?km7k>TJE?bvT1n}fZN9uOp)(Qv5467R z=Ka4w&qI?vwo6a|X^8l5g|^R@;;;O6MbC=(?~Q)z06cB$9~_8Y6VavU;Sn7}?;g>k z&;uiSEV^GrPecb1U5Wm3|1dksqq$&1L?4TOC!%Mg{}$1W=$9k98U1WTx1oO>(TmWl zBU-!0?Gb$z`ud2z0DW0RFGHUf(O04uM)dXQmWaL?T_4eRqGv|*z33?s{UEv`qJND( zG@{p_%Od(Y^llOTB6_=s{xkZ!eY)EBZ|F@C{TBM45&a(et%&{z{c1#SME@b8zeKNz z=0Zh=_g_T@ul+qxX*J_2``=`UCWUh~9wi6VaQb z_v@l@h(<9-l(SFnMGJ)2j?RFeB|2LuaW0zxT z2kAvHIh%hPC521DA ze@>{MM{BXDDk#6#(7M+j5B0lfEh0-o{S|t~TU-=IE5898=(ND}{`;UOM*I&)pNHNo z3}1&{jNUxd8FVJ1&qvRTgufZBd!}01>G*j!TK7V|z8}5*P1-9=?@9D1;%jB7^1X(B znD8{8#b1vu9@HzC!@MZ}4QPFiXq~n1fZz+X-Vyz$F#b2_rEhoXAJL-;ul7~^&FF_U z{krrv=-KPJ7#pUyBRcr1tAC2+Kd@Jzk@f(Mw}p zx&vJr(M!-XBKmCfKO_1=^vV%k@t33Z%#hpq2UnrjM*MF;mqz?=L7#U>SNOXWKceqL zS4H$g=n)b9I9eBieSS})M<3s%pGWK4Jl_8$v|cps^($z7-oop@qxE4Quir-NB9Yhc zqyLlY(jTKgis(<#dfUF+`UhX5b@9~eAJDoO>vd5dE?ms((*4l-4vhC7fc`q72cdNV z+57K`)57u}+3cVsypRs6t8^`-kMC*m zt2$m!N9&tAULS{kE25KVT}=1>bJ4n(?{y3M;E2wmb(6sRccA|j(M!BrIg zM)cF@CnNfKw7#n0XF9<7TrUKei0+#b=Jqjiza`)`fb#XPUKM>m|&rFTJRmv-qr(YlD} zw*J9>XkBdddI(zIL-BeTS|3aCdL&w3Qt|o-w7#0*bp?9u@-BTeS|8Hz{!`KVW{1~x z=w*@c$D@yn=z6q%;OfISq3@2gcN#q<;@^(e#Uda66tpf@d3`!s7rDGX7p<39d3`au z>q1rkUrTaw=-be`SnU1pLF=Nj*S|vR;nG8=nC9Qp^pN9*Q__x~eWUvu*MFKFGY@%jz4Zt8gb543Lnc>N(-H;KId1g#HGdHoq$ zH*k2pqtLpE;`LaxzTxEcM6_~{ULT9rccZ+X zjn+q{ylzAv9nsC`cdzX7&!Tk`$cOJh>t>PHOVIkTmDgvZb(6{K3(-MD>+!RTGF|>x zq4f$DxAhNhKxpQ6rPu2zXnlOg>sqwFsN(hUXnnTF>jw0`5j`KR54Cvz zHncuz08lrBKmIh zfQVj=)mNLf*25WIKY`Xm99}pnO39XxYUVn`~^4u={1A1Cy{ZrJB@-=q(_e1xM=mBVb zz|w8~gF$G0!qV$q(Rz5s>%nL}G~@ODXnk7R>k_nXns_}Nt(zrYAA;5m6|YC5^_6O` zC!qDICa))>b)&`WYV@o~`ZLh_=#%$90jk>U z^V9DW>e2pwNUvarpc(D+lm8O5zaPWOF1QTs?~n8fb_(u9>o-fP(6-e7LG+rVxhaT~ z^i$G1aDzD1e@0g!I6XK2chH%gsdK0|p!M^T(?k6q^yp%aL7^_>!o!;Ie0&?u$G1TH zZCl80=mEQ{q%QsaBL2m=jYF@dzl!8v!1?>u`7gufmAXe7P6&?_f!j1Tkw75c29 zE(MkMdGrgB_~nzpr=p*T)PDwg=~#FDO#ZVK zKHQYwI>v*)f4D6#>S&Ajt9{QxuP47{aE*_P&}$>(;p#|urMC+GXrz1($bTFUtpdvb z*XWIV@K8{wpOru3H5ux^pwElMe;Yl3_SP<;_!|^H+?3xB=&IqCh52vRpYw-E{Rg1O zN7CCJy)K*&)c?cLqiLUEq^0ynq4gUIb{W%?(0&^XNkz2sU)V#Rk1nP>2jHjlmZSB{ zfH9%I7F|XAZ5Qg>fcGy)4q6TQQy%Mbf)V@k#I0F#axNs&8<)`D&No z5&ZpD40#T{mhjqll;0~6f0g%L^sThFwrvV_4mP4oBje#)w0^u-P~hShFkha<{O96BM|1Z&gQyafXJ)fmL{qbWnIfk3!?}FYxQontB_#cAKOk|BkSk>o9^jg~Y%21!c z{8&nSZ3Ae}V|&D3fPNxUziP_kA4pMpr}hYcDO%5G`2M_7{zJRwn@;qy!@B14+t3?} zNt0ko??LpM(Tw3xKaF0^_?{B#|3dps^iBTF9?hB#uK4evyN*Y@1RK#A=DWQ^|1YFz zINg_0_;1kqp@}x_F9Yz)?CFjR@*jlO+h(4ZKYB0psz`tAhh9(ro*DYb(S8%1$UnV@ zWL!9YrbYaV@t=!c!~C@=^lyv!i=NX%UxPj<(%&o5XGQwwm*~Y2{UCZ{WPg1Utv4}z zL)xm(AJM+Ow7jkB zgVrx7{qg9yNO+18w4zr>!Y}IKe}VkR&`yL^c`xhXzmfgPKYz3>cbRU%Uq1!*$Lo91 zdH_qC`u|z<0FGCWN|WB7d!+Yq4;?h87L{~#l+*_u9f`TATq4t!TF{hk&nB|DWG*Gw z`ox^}#zaOGx3-)}b26JtBj3#k@`QZ%>I|#|6%rjIRA&mOO40uf873$u>Tfo zxcTxcD^eJ4afe&n;fh;6{9yZUafe&n;TCte#XZ>K9&B+Bwzvmd+=DId!4~&mi+ixe zJ=o$NY;k#K7wN|=Zp`AwEN;x=#w>2k;__2-g|xW*6d&$t6t}o>iyODNaf=(bxN(ac zx43bO8@IS4EZ-3pcZ9_qVR89oH7WC}X#KaiBP{L+i#x*NjDFw?@N!1TN$pK3+PmC_jP2VaZO@F^D6t*Q z_A}enZBHMrK~!c_LYYM$LX_FAQ)W9&ne8-XZl|&I%WW{0+h8oW!5Fh)7_$Kw zvjG@m0G3RSm22?E$~AanJj^1~;&Qjh z!>rJyu3V*7uo$h3zf~k=a~sK86su3n>Jzgn$E?mVo7`eHxy5X9i`nEBv&k)HlUvLt zx0q`}o7`eHxy5X9i`nEBv&k)HlUvLtx0p?CF`L|CuFb8{V>Y?PY;ud)Qxp++sGl#cXnm+2j_p$t`A+Tg)c6nDtQ1HK4Ue%r%FryG?E}o7`eHxy5X9 zi`nEBv&k)HlUvLtx0p?CF`L|CHo3)Ya*NsI7PHAMW|LdoCbzgvZgHF3;x@U(ZE}m- zS|U+~PL5#cd?TZE}m-c#7M2iraXK+pvw>sEXU}LXQrret+y+R@{bG+=f=%hF08$R@{bG+=f=%hF08$7Liq6Km{%A9uOiAdA}|8)1WNgblJ0HpoWUARA$WEM`3$vyO^cN5x#X*z^;( zStD*cYTS0Yxb1Rr+vVc6%axT|1DBOs3j@|rI$Y2u<<`i+@Swgem(8)xw zF?rIonnWUKNVTQrHf3|H|8gxHq|&XaEFl`wiMh?`Ijj{Ma_P2gBH6wus86?Knp3${ zLkY7+{O1ED8aYZPl5K6tjzp?8*Ve&}N{-cuhW3`04x&VqtpmFSO(Z5%k2$6yQBgUb zlDT4fZfi)NZbOh5KeKYoF_Xp-D&pgo(uu^8mD3Xy6T{Rdj;{_9M^2tHcFg3&lnE22 zRn#PE#*Cd@kqD9v4GU7N#I<~GY+97g%}ce}f;GFaDOW#_WvySeCKAm}bLtcI*>TG?IV{JA^4sG2F(2$zbJ~wF1r~y)oGHo>CPjkyCt;Qyj zXlzTRq6xI5TIw?$QI~AC*{!WOjo{28>UC06YXbuzNTeD#^`Q0^B4}i!Wh1GU1uUjA zIW6f}ZrSNfQ>)6C$#FK*kXFSd8J)R61?b+WT0pcmMDumosyEe$uEvQ;Q*=639}UM^ zCPfY;-A41NUv1F`OVq=2{_H5ob!1YE0u`gH%dA`9(9}w^b1J4mMZeT9N@~O$AVTN%e49om_E zhGEMQKm+Gz26nb7tQzCXN1DSR(5yGNCEe<>V*YU>Cv1S!qNZGrVrA2bdCArWThD5Y zHK*vYY%?=mhFyCK=`=L8Cfc*929mP#g|2gEE&>pRQJY|wpBqg$Jg3mWwKHkzL&DJz z*=A;^d74?~wk79KYMYvDHsa*Gsax3kbfzQQ9MF^PnM5YdeAQ?>YGbzqo94QK-JDL( zZ?`jf`jUx0-+S~vb7YTwE;1)-3f4^1J@ahq&*YVEZ>vw)w35&$Z)oo}IcA${7Uil_ zndW4@MqnnL3Co~fq0tgL(`!xBYV}E-GDNG<(P2HHv|H0rk3?f8F>hgD<54Goj1Ag^ z_SeMU&^2KEh$>fz@L)it7+ulS+|Z*WbFy|lhc@N%PJN)J$Mq)@sa-B%?+;QfTm@;O z?3zP3=u$tX1)WE_aY5I#cb}WI+lJ*x9JL@(9UiF1aX~1{c%>wXWb?vgN0!Mmm29JF zq6N+VwDoBs?VNL(=j#O8m82nU!^w?ys^HoqdXPyZI5dR^WlNJmot#T&==kMV58aMl z(X->9Yme2*c*~<-S!1H1-ZGK%F-G-7Ns-Y_XihBa{xuprJJK|pw3Fu z+-llv)I+D93pj~YHGbM>^}596W^B?-YvH0MZ_9E0tf^ZD9Ag=a(UgM{t}bw%3sM}z zd7d%)Qf--_q&1yOm5doXX-FY~nA`5{)ovJ0O>&=7o#0c?~4tm5b_D zXMx4={KR^KDXL}HmbRiLTbk-APMYf@%GRaK4ic2GXl&8(zr?zcMAYWEJK5CQs06eg zNhIgY;WDXLns+^?`8=huJq=T`tF-^Sf862-xTJ2+4d^#h>e$P`ZZBef}0NcS~xw(_mTQT7)F2sckpK>rx#tKaL&X5;4bRe5Cdu^+;4`W>%qG;Yy+ zk#;|3b5L>g`(Bxzb@%D1e0{MqF@+n8>36@f9VwK;?S%Po7r|9l<)iEIvc2GbQ#fts zVm{nb!pKIe5aFgaQP|5cA8rkfGWq#UWz%*Y=EJQefb0xK4mTg?CbSQy=LKW~eIU@6 z?~X8>ilqBovVvS!z~YeJ2P!><)AKO0y$eH6z^C^l+=tWemSr=zU*tC*?s*(&3L6W0 z-ezF4yC)b8r?9VJTZiHF+|G{wL%6pIqkL5)J^!=o&xFIv?!)3xN>9%Rt!?cUYz*B1tFQV*_Z@wD`W^L}bg$qb1qe6QL8kjtK3uRAmg!}`^$mkr z7=W)p%3tNv^HilLQ9j@KxD{jC_^FnqSL&pN8xnE>-ez>C zq4zqT^yYaHhLPO2N4Vb+?#Lxw0hOM%@*d%~{Ipka2G4r=&4(M^Bitq5_6mNpj}O&V zrpaMAUyoz3uq^AhPx# literal 0 HcmV?d00001