diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/_ngo/netlist.lst b/60hz_Divider/code/xilinx/cpld_countertest10/_ngo/netlist.lst new file mode 100644 index 0000000..46cc0ce --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/_ngo/netlist.lst @@ -0,0 +1,2 @@ +/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.ngc 1596516022 +OK diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/ngdbuild.xmsgs b/60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/ngdbuild.xmsgs new file mode 100644 index 0000000..f84336a --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/ngdbuild.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/pn_parser.xmsgs b/60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/pn_parser.xmsgs new file mode 100644 index 0000000..db535de --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/pn_parser.xmsgs @@ -0,0 +1,15 @@ + + + + + + + + + + +Parsing VHDL file "/home/dev/Desktop/code/xilinx/file/cpld_countertest10/counta.vhd" into library work + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/xst.xmsgs b/60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/xst.xmsgs new file mode 100644 index 0000000..ad486db --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/xst.xmsgs @@ -0,0 +1,12 @@ + + + +Signal <ORvalforstore> is never used or assigned. This unconnected signal will be trimmed during the optimization process. + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/constraints.ucf b/60hz_Divider/code/xilinx/cpld_countertest10/constraints.ucf new file mode 100644 index 0000000..f4c35da --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/constraints.ucf @@ -0,0 +1,16 @@ +NET "XSTALIN" LOC="P20" ; #should be using bufg=clk +NET "HZIN" LOC="P21" ; +NET LED(0) LOC="P41" ; +NET LED(1) LOC="P42" ; +NET LED(2) LOC="P43" ; +NET LED(3) LOC="P44" ; +NET LED(4) LOC="P1" ; +NET LED(5) LOC="P2" ; +NET LED(6) LOC="P3" ; +NET LED(7) LOC="P5" ; +NET "TX" LOC="P6" ; +#NET SWITCH LOC="P18"; + +#for dangerous prototypes board, +# led is 38 / 39 +# button is 18 \ No newline at end of file diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.bld b/60hz_Divider/code/xilinx/cpld_countertest10/counta.bld new file mode 100644 index 0000000..7591f73 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.bld @@ -0,0 +1,36 @@ +Release 14.7 ngdbuild P.20131013 (lin) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +Command Line: /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin/unwrapped/ngdbuild -intstyle +ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd + +Reading NGO file +"/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.ngc" ... +Gathering constraint information from source properties... +Done. + +Annotating constraints to design from ucf file "constraints.ucf" ... +Resolving constraint associations... +Checking Constraint Associations... +Done... + +Checking expanded design ... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Total memory usage is 102216 kilobytes + +Writing NGD file "counta.ngd" ... +Total REAL time to NGDBUILD completion: 7 sec +Total CPU time to NGDBUILD completion: 7 sec + +Writing NGDBUILD log file "counta.bld"... diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.cmd_log b/60hz_Divider/code/xilinx/cpld_countertest10/counta.cmd_log new file mode 100644 index 0000000..0583624 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.cmd_log @@ -0,0 +1,383 @@ +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr" +ngdbuild -intstyle ise -dd _ngo -i -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr" +ngdbuild -intstyle ise -dd _ngo -i -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr" +ngdbuild -intstyle ise -dd _ngo -i -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +vhdtdtfi -prj cpld_countertest5 -o /home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.vhi -module counta -template /opt/Xilinx/14.7/ISE_DS/ISE//data/vhdlinst.tft -deleteonerror -lib work counta.vhd +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta +xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr" +ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd +cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd +XSLTProcess counta_build.xml +tsim -intstyle ise counta counta.nga +hprep6 -s IEEE1149 -n counta -i counta diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.gyd b/60hz_Divider/code/xilinx/cpld_countertest10/counta.gyd new file mode 100644 index 0000000..8cec0dd --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.gyd @@ -0,0 +1,37 @@ +Pin Freeze File: version P.20131013 + +9572XL44VQ XC9572XL-5-VQ44 +HZIN S:PIN21 +XSTALIN S:PIN20 +TX S:PIN6 +LED<0> S:PIN41 +LED<1> S:PIN42 +LED<2> S:PIN43 +LED<3> S:PIN44 +LED<4> S:PIN1 +LED<5> S:PIN2 +LED<6> S:PIN3 +LED<7> S:PIN5 + + +;The remaining section of the .gyd file is for documentation purposes only. +;It shows where your internal equations were placed in the last successful fit. + +PARTITION FB1_5 EXP6_ storecounta<7> EXP7_ storecounta<8> + storecounta<9> EXP8_ storecounta<10> EXP9_ + EXP10_ storecounta<11> storecounta<12> EXP11_ + uartnow<0> + +PARTITION FB2_1 EXP12_ +PARTITION FB2_8 clkcounta<9> clkcounta<8> clkcounta<7> clkcounta<6> + clkcounta<5> clkcounta<4> clkcounta<3> clkcounta<12> + clkcounta<11> clkcounta<10> storecounta<13> +PARTITION FB3_1 alreadystoredcnt<0> waitnow<0> uartskip<0> clkcounta<0> + storecounta<0> uartctr<4> uartctr<3> uartctr<2> + uartctr<1> uartctr<0> clkcounta<2> clkcounta<1> + storecounta<2> storecounta<1> resetclk<0> storecounta<5> + storecounta<4> storecounta<3> +PARTITION FB4_1 storecounta<14> EXP13_ +PARTITION FB4_12 EXP14_ storecounta<18> storecounta<17> storecounta<16> + EXP15_ storecounta<6> storecounta<15> + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.jed b/60hz_Divider/code/xilinx/cpld_countertest10/counta.jed new file mode 100644 index 0000000..5d1d5a6 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.jed @@ -0,0 +1,1667 @@ +Programmer Jedec Bit Map +Date Extracted: Tue Aug 4 00:40:50 2020 + +QF46656* +QP44* +QV0* +F0* +X0* +J0 0* +N VERSION P.20131013* +N DEVICE XC9572XL-5-VQ44* +N PPMAP 24 1* +N PPMAP 46 12* +N PPMAP 49 13* +N PPMAP 50 14* +N PPMAP 52 16* +N PPMAP 59 18* +N PPMAP 62 19* +N PPMAP 26 2* +N PPMAP 63 20* +N PPMAP 65 21* +N PPMAP 68 22* +N PPMAP 72 23* +N PPMAP 82 27* +N PPMAP 83 28* +N PPMAP 87 29* +N PPMAP 27 3* +N PPMAP 88 30* +N PPMAP 89 31* +N PPMAP 90 32* +N PPMAP 92 33* +N PPMAP 3 34* +N PPMAP 7 36* +N PPMAP 9 37* +N PPMAP 10 38* +N PPMAP 11 39* +N PPMAP 12 40* +N PPMAP 13 41* +N PPMAP 15 42* +N PPMAP 20 43* +N PPMAP 21 44* +N PPMAP 29 5* +N PPMAP 31 6* +N PPMAP 33 7* +N PPMAP 38 8* +L0000000 00010000 00000000 00000000 00000000* +L0000032 00000000 00000000 10000100 00000000* +L0000064 00000000 00000000 01110000 00000000* +L0000096 00000000 00000000 00000000 00001000* +L0000128 00000000 00011100 00010000 00000000* +L0000160 00000000 00000000 00000000 10000000* +L0000192 00111000 00000000 00000100 00000100* +L0000224 00000100 00000000 10100000 00000000* +L0000256 00000000 00000000 01011000 00001000* +L0000288 000000 001111 010110 000000* +L0000312 000000 000000 000000 000100* +L0000336 010010 000001 010011 000101* +L0000360 000000 000000 001000 000000* +L0000384 000000 000000 000000 000000* +L0000408 000000 001110 000000 000000* +L0000432 00100000 00000000 00000000 00000000* +L0000464 00001100 10000000 00110000 00000100* +L0000496 00010000 00011100 00000000 10000000* +L0000528 00010000 00000000 11101100 00000000* +L0000560 00000000 00000000 10001000 10001000* +L0000592 00000000 00000000 00000000 00000000* +L0000624 00000100 00000000 10100000 00000000* +L0000656 00000000 00111100 00010000 10000000* +L0000688 00111100 00000000 10100100 10000100* +L0000720 001111 000000 000001 000011* +L0000744 000000 000000 000000 000000* +L0000768 000100 000000 101000 000000* +L0000792 001000 001110 110000 000100* +L0000816 010010 000001 011011 000001* +L0000840 011010 000001 001111 000011* +L0000864 00000000 00000000 00000000 00000000* +L0000896 00000000 00000000 00110000 00000000* +L0000928 00000000 00000000 00000000 00000000* +L0000960 00000000 00000000 00000000 00000000* +L0000992 00000000 00000000 00000000 00000000* +L0001024 00000000 00000000 00000000 00000000* +L0001056 00000100 00000000 00100000 00000000* +L0001088 00000000 00000000 10000000 00000000* +L0001120 00000000 00000000 10000000 00000000* +L0001152 000000 000000 000000 000000* +L0001176 000000 000000 000000 000000* +L0001200 000000 000000 101000 000000* +L0001224 000000 000000 000000 000000* +L0001248 000000 000000 010000 000000* +L0001272 000000 000000 000000 000000* +L0001296 00100000 00000000 00000000 00000000* +L0001328 00001100 10000000 00000000 00000100* +L0001360 00000000 00000000 00000000 00000000* +L0001392 00010000 00000000 01100000 00000000* +L0001424 00000000 00000000 10001000 10001000* +L0001456 00000000 00000000 00000000 00000000* +L0001488 00000000 00000000 10000000 00000000* +L0001520 00000000 00000000 00000000 00000000* +L0001552 00000100 00000000 00100000 10000000* +L0001584 000000 000000 100000 000010* +L0001608 000000 000000 000000 000000* +L0001632 000100 000000 000000 000000* +L0001656 000000 000000 110000 000100* +L0001680 000000 000000 001000 000000* +L0001704 001000 000000 001111 000000* +L0001728 00000000 00000000 00000000 00000000* +L0001760 00000000 00000000 00000000 00000000* +L0001792 00000000 00000000 00000000 00000000* +L0001824 00000000 00000000 00000000 00000000* +L0001856 00000000 00000000 00000000 00000000* +L0001888 00000000 00000000 00000000 00000000* +L0001920 00000100 00000000 00000000 00000000* +L0001952 00000000 00000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000* +L0002016 000000 000000 000000 000000* +L0002040 000000 000000 000000 000000* +L0002064 000000 000000 100000 000000* +L0002088 000000 000000 000000 000000* +L0002112 000000 000000 000000 000000* +L0002136 000000 000000 000000 000000* +L0002160 00100000 00000000 00000000 00000000* +L0002192 00001100 10000000 00110000 00000100* +L0002224 00000000 00000000 00000000 00000000* +L0002256 00010000 00000000 01000000 00000000* +L0002288 00000000 00000000 10001000 10001000* +L0002320 00000000 00000000 00000000 00000000* +L0002352 00000000 00000000 10100000 00000000* +L0002384 00000000 00000000 00000000 00000000* +L0002416 00000000 00000000 10000000 10000000* +L0002448 000001 000000 100000 000010* +L0002472 000000 000000 000000 000000* +L0002496 000100 000000 001000 000000* +L0002520 000000 000000 100000 000100* +L0002544 000000 000000 010000 000000* +L0002568 001000 000000 000111 000000* +L0002592 00000010 00000000 00000000 00000000* +L0002624 00000001 00000000 00000000 00000000* +L0002656 00000000 00000000 00000000 00000000* +L0002688 00000011 00000000 00000000 00000000* +L0002720 00000010 00000000 00000000 00000000* +L0002752 00000001 00000000 00000000 00000000* +L0002784 00000011 00000000 00000000 00000000* +L0002816 00000011 00000000 00000000 00000000* +L0002848 00000000 00000000 00000000 00000000* +L0002880 000000 000000 000000 000000* +L0002904 000000 000000 000000 000000* +L0002928 000000 000000 000000 000000* +L0002952 000000 000000 000000 000000* +L0002976 000000 000000 000000 000000* +L0003000 000000 000000 000000 000000* +L0003024 00000010 00000000 00000000 00000000* +L0003056 00000011 00000000 00000000 00000000* +L0003088 00000010 00000000 00000000 00000000* +L0003120 00000010 00000000 00000000 00000000* +L0003152 00000010 00000000 00000000 00000000* +L0003184 00000001 00000000 00000000 00000000* +L0003216 00000011 00000000 00000000 00000000* +L0003248 00000001 00000000 00000000 00000000* +L0003280 00000000 00000000 00000000 00000000* +L0003312 000000 000000 000000 000000* +L0003336 000000 000000 000000 000000* +L0003360 000000 000000 000000 000000* +L0003384 000000 000000 000000 000000* +L0003408 000000 000000 000000 000000* +L0003432 000000 000000 000000 000000* +L0003456 00000000 00000000 00000000 00000000* +L0003488 00000000 00000000 00000000 00000000* +L0003520 00000000 00000000 00000000 00000000* +L0003552 00000000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000000* +L0003616 00000000 00000000 00000000 00000000* +L0003648 00000000 00000000 00000000 00000000* +L0003680 00000000 00000000 00000000 00000000* +L0003712 00000000 00000000 00000000 00000000* +L0003744 000000 000000 000000 000000* +L0003768 000000 000000 000000 000000* +L0003792 000000 000000 000000 000000* +L0003816 000000 000000 000000 000000* +L0003840 000000 000000 000000 000000* +L0003864 000000 000000 000000 000000* +L0003888 00000000 00000000 00000000 00000000* +L0003920 00000000 00000000 00000000 00000000* +L0003952 00000000 00000000 00000000 00000000* +L0003984 00000000 00000000 00000000 00000000* +L0004016 00000000 00000000 00000000 00000000* +L0004048 00000000 00000000 00000000 00000000* +L0004080 00000000 00000000 00000000 00000000* +L0004112 00000000 00000000 01000000 00000000* +L0004144 00000000 00000000 00000000 00000000* +L0004176 000000 000000 010000 000000* +L0004200 000000 000000 000000 000000* +L0004224 000000 000000 000000 000000* +L0004248 000000 000000 000000 000000* +L0004272 000000 000000 000000 000000* +L0004296 000000 000000 000000 000000* +L0004320 00000000 00000000 00000000 00000000* +L0004352 00000000 00000000 00000000 00000000* +L0004384 00000000 00000000 00000000 00000000* +L0004416 00000000 00000000 00000000 00000000* +L0004448 00000000 00000000 00000000 00000000* +L0004480 00000000 00000000 00000000 00000000* +L0004512 00000000 00000000 00000000 00000000* +L0004544 00000000 00000000 00000000 00000000* +L0004576 00000000 00000000 00000000 00000000* +L0004608 000000 000000 000000 000000* +L0004632 000000 000000 000000 000000* +L0004656 000000 000000 000000 000000* +L0004680 000000 000000 000000 000000* +L0004704 000000 000000 000000 000000* +L0004728 000000 000000 000000 000000* +L0004752 00000000 00000000 00000000 00000000* +L0004784 00000000 00000000 00000000 00000000* +L0004816 00000000 00000000 00000000 00000000* +L0004848 00000000 00000000 00000000 00000000* +L0004880 00000000 00000000 00000000 00000000* +L0004912 01000000 00000000 00000000 00000000* +L0004944 00000000 00000000 00000000 00000000* +L0004976 00000000 00000000 00000000 00000000* +L0005008 00000000 00000000 00000000 00000000* +L0005040 000000 000000 000000 000000* +L0005064 000000 000000 000000 000000* +L0005088 000000 000000 000000 000000* +L0005112 000000 000000 000000 000000* +L0005136 000000 000000 000000 000000* +L0005160 010000 000000 000000 000000* +L0005184 00000000 00000001 00000011 00000010* +L0005216 00000001 00000001 00000011 00000000* +L0005248 00000000 00000001 00000011 00000000* +L0005280 00000000 00000001 00000011 00000001* +L0005312 00000001 00000001 00000011 00000001* +L0005344 00000011 00000001 00000011 00000001* +L0005376 00000000 00000001 00000011 00000000* +L0005408 00000011 00000011 00000011 00000001* +L0005440 00000010 00000011 00000011 00000001* +L0005472 000000 000000 000000 000000* +L0005496 000000 000000 000000 000000* +L0005520 000000 000000 000000 000000* +L0005544 000000 000000 000000 000000* +L0005568 000000 000000 000000 000000* +L0005592 000000 000000 000000 000000* +L0005616 00000001 00000011 00000011 00000010* +L0005648 00000001 00000001 00000011 00000010* +L0005680 00000001 00000001 00110011 00000001* +L0005712 00000001 00000001 00100011 00000001* +L0005744 00000011 00000001 00000011 00000001* +L0005776 00000011 00000001 00000011 00000001* +L0005808 00000011 00000001 00000011 00000001* +L0005840 00000111 00000011 10100011 00000001* +L0005872 00000110 00000011 10100011 00000001* +L0005904 000001 000000 000000 000000* +L0005928 000000 000000 000000 000000* +L0005952 000000 000000 010000 000000* +L0005976 000000 000000 011000 000000* +L0006000 000000 000000 011000 000000* +L0006024 000000 000000 001000 000000* +L0006048 00000000 00000001 00000011 00000010* +L0006080 00000001 00000001 00000011 00000000* +L0006112 00000000 00000001 00000011 00000000* +L0006144 00000000 00000001 00000011 00000001* +L0006176 00000001 00000001 00000011 00000001* +L0006208 00000011 00000001 00000011 00000001* +L0006240 00000000 00000001 00000011 00000000* +L0006272 00000011 00000011 00000011 00000001* +L0006304 00000010 00000011 00000011 00000001* +L0006336 000000 000000 000000 000000* +L0006360 000000 000000 000000 000000* +L0006384 000000 000000 000000 000000* +L0006408 000000 000000 000000 000000* +L0006432 000000 000000 000000 000000* +L0006456 000000 000000 000000 000000* +L0006480 00000001 00000010 00000000 00000000* +L0006512 00000000 00000000 00000000 00000010* +L0006544 00000001 00000000 00000000 00000001* +L0006576 00000001 00000100 00000000 00000000* +L0006608 00000000 00000100 00000000 00000000* +L0006640 00000000 00000000 00000000 00000000* +L0006672 00000001 00100000 00000000 00000001* +L0006704 00000000 00100000 00000000 00000000* +L0006736 00000000 00000100 00000000 00000000* +L0006768 000000 000001 000000 000000* +L0006792 000000 000000 000000 000000* +L0006816 000010 000000 000000 000000* +L0006840 000000 000000 000000 000000* +L0006864 000000 000010 000000 000000* +L0006888 000000 000010 000000 000000* +L0006912 00000000 00000001 00000001 00000010* +L0006944 00000001 00000001 00000011 00000000* +L0006976 00000000 00000001 00000011 00000000* +L0007008 00000000 00000001 00000011 00000001* +L0007040 00000001 00000001 00000011 00000001* +L0007072 00000011 00000001 00000010 00000001* +L0007104 00000000 00000001 00000011 00000000* +L0007136 00000011 00000011 00000011 00000001* +L0007168 00000010 00000011 00000011 00000001* +L0007200 000000 000000 000000 000000* +L0007224 000000 000000 000000 000000* +L0007248 000000 000000 000000 000000* +L0007272 000000 000000 000000 000000* +L0007296 000000 000000 000000 000000* +L0007320 000000 000000 000000 000000* +L0007344 00000001 00000000 00000010 00000000* +L0007376 00000000 00000000 00000000 00000010* +L0007408 00000000 00000000 00000000 00000001* +L0007440 00000000 00000000 00000000 00000000* +L0007472 00000000 00000000 00000000 00000000* +L0007504 00000000 00000000 00000001 00000000* +L0007536 00000000 00000000 00000000 00000000* +L0007568 00000000 00000000 00000000 00000000* +L0007600 00000000 00000000 00000000 00000000* +L0007632 000000 000000 000000 000000* +L0007656 000000 000000 000000 000000* +L0007680 000000 000000 000000 000000* +L0007704 000000 000000 000000 000000* +L0007728 000000 000000 000000 000000* +L0007752 000000 000000 000000 000000* +L0007776 00000000 00000001 00000000 00000000* +L0007808 00000001 00000001 00000010 00000000* +L0007840 00000000 00000001 00000000 00000000* +L0007872 00000000 00000001 00000001 00000000* +L0007904 00000001 00000001 00000011 00000001* +L0007936 00000011 00000001 00000010 00000001* +L0007968 00000000 00000001 00000011 00000000* +L0008000 00000011 00000011 00000011 00000001* +L0008032 00000000 00000011 00000011 00000001* +L0008064 000000 000000 000000 000000* +L0008088 000000 000000 000000 000000* +L0008112 000000 000000 000000 000000* +L0008136 000000 000000 000000 000000* +L0008160 000000 000000 000000 000000* +L0008184 000000 000000 000000 000000* +L0008208 00000001 00000000 00000011 00000010* +L0008240 00000000 00010000 00100001 00000010* +L0008272 00000000 00010000 00110001 00000000* +L0008304 00000000 00000100 00100010 00000001* +L0008336 00000000 00000100 00000000 00000000* +L0008368 00000000 00000000 00000001 00000000* +L0008400 00000000 00000000 00100000 00000000* +L0008432 00000100 00000000 10100000 00000000* +L0008464 00000110 00100100 10100000 00000000* +L0008496 000001 001001 000000 000000* +L0008520 000000 000000 000000 000000* +L0008544 000000 000000 011000 000000* +L0008568 000000 000000 011000 000000* +L0008592 000000 001010 011000 000000* +L0008616 000000 001010 001000 000000* +L0008640 00000000 00000001 00000000 00000000* +L0008672 00000001 00000001 00000000 00000000* +L0008704 00000000 00000001 00000000 00000000* +L0008736 00000000 00000001 00000000 00000000* +L0008768 00000001 00000001 00000011 00000000* +L0008800 00000011 00000001 00000000 00000001* +L0008832 00000000 00000001 00000000 00000000* +L0008864 00000011 00000011 00000001 00000001* +L0008896 00000000 00000011 00000000 00000001* +L0008928 000000 000000 000000 000000* +L0008952 000000 000000 000000 000000* +L0008976 000000 000000 000000 000000* +L0009000 000000 000000 000000 000000* +L0009024 000000 000000 000000 000000* +L0009048 000000 000000 000000 000000* +L0009072 00000000 00000000 00000011 00000010* +L0009104 00000000 00000000 00000011 00000000* +L0009136 00000000 00000000 00000001 00000000* +L0009168 00000000 00011100 00000001 00000001* +L0009200 00000000 00011100 00000000 00000001* +L0009232 00000000 00000000 00000001 00000000* +L0009264 00000000 00000000 00000001 00000000* +L0009296 00000000 00000000 00000000 00000000* +L0009328 00000010 00111100 00000011 00000000* +L0009360 000100 001111 000000 000000* +L0009384 000100 000000 000000 000000* +L0009408 001000 000000 000000 000000* +L0009432 001000 000000 000000 000000* +L0009456 000000 001110 000000 000000* +L0009480 000000 001110 000000 000000* +L0009504 00101100 10000000 00000010 00000100* +L0009536 00011100 10011100 10001000 10000100* +L0009568 00000000 00000000 10110100 00000000* +L0009600 00010000 00000000 01000000 10000000* +L0009632 00000000 00000000 10001100 10001000* +L0009664 01000000 00000000 00000000 00000000* +L0009696 00000000 00111100 10010000 10000000* +L0009728 00111100 00000000 10100100 00000100* +L0009760 00000000 00000000 00000000 10000000* +L0009792 000000 000000 100000 000010* +L0009816 000100 000000 000000 000000* +L0009840 001100 001110 010000 000010* +L0009864 010010 000001 101011 000101* +L0009888 001000 000000 000000 000000* +L0009912 001000 000000 000111 000000* +L0009936 00010000 00000000 00000001 00000000* +L0009968 00000000 00000000 00000101 00000000* +L0010000 00000000 00000000 01000001 00000000* +L0010032 00000000 00000000 00000001 00001001* +L0010064 00000001 00011100 00010011 00000001* +L0010096 00000010 00000000 00000000 10000001* +L0010128 00111000 00000000 00000101 00000100* +L0010160 00000000 00000000 00000001 00000001* +L0010192 00000000 00000000 01011000 00001000* +L0010224 000000 001111 010110 000000* +L0010248 000000 000000 000000 000100* +L0010272 010010 000001 000011 000101* +L0010296 000000 000000 000000 000000* +L0010320 000000 000000 000000 000000* +L0010344 000000 001110 000000 000000* +L0010368 00000000 00000000 00000010 00000010* +L0010400 00000001 00000000 00000000 00000000* +L0010432 00000000 00000000 00000000 00000000* +L0010464 00000000 00000000 00000000 00000000* +L0010496 00000000 00000000 00000000 00000000* +L0010528 00000011 00000000 00000000 00000000* +L0010560 00000000 00000000 00000000 00000000* +L0010592 00000010 00000000 00000001 00000000* +L0010624 00000010 00000001 00000001 00000001* +L0010656 000000 000000 000000 000000* +L0010680 000000 000000 000000 000000* +L0010704 000000 000000 000000 000000* +L0010728 000000 000000 000000 000000* +L0010752 000000 000000 000000 000000* +L0010776 000000 000000 000000 000000* +L0010800 00000001 00000010 00000010 00000010* +L0010832 00000000 00000000 00000010 00000010* +L0010864 00000001 00000000 00000000 00001000* +L0010896 00000000 00000000 00000000 00000000* +L0010928 00000000 00000000 00000000 00000000* +L0010960 00000000 00000000 00000000 00000000* +L0010992 00000011 00000000 00000000 00001000* +L0011024 00000000 00000000 00000000 00000000* +L0011056 00000010 00000000 00000001 00001000* +L0011088 000000 000000 000000 000000* +L0011112 000000 000000 000000 000000* +L0011136 000000 000000 000000 000100* +L0011160 000000 000000 000000 000000* +L0011184 000000 000000 000000 000000* +L0011208 000000 000000 000000 000000* +L0011232 00000000 00000001 00000011 00000010* +L0011264 00000001 00000001 00000011 00000000* +L0011296 00000000 00000001 00000011 00000000* +L0011328 00000000 00000001 00000011 00000001* +L0011360 00000001 00000001 00000011 00000001* +L0011392 00000011 00000001 00000011 00000001* +L0011424 00000000 00000001 00000011 00000000* +L0011456 00000011 00000011 00000011 00000001* +L0011488 00000010 00000011 00000011 00000001* +L0011520 000000 000000 000000 000000* +L0011544 000000 000000 000000 000000* +L0011568 000000 000000 000000 000000* +L0011592 000000 000000 000000 000000* +L0011616 000000 000000 000000 000000* +L0011640 000000 000000 000000 000000* +L0011664 00000000 00011100 11111100 10001000* +L0011696 00000000 00000000 00000000 00000000* +L0011728 00000000 00000000 00000000 00000000* +L0011760 00000000 00000000 00000000 00000000* +L0011792 00000000 00000000 00000000 00000000* +L0011824 00111100 00111100 11111100 00001100* +L0011856 00000000 00000000 00000000 00000000* +L0011888 00000000 00000000 00000000 00000000* +L0011920 00000000 00000000 00000000 00000000* +L0011952 000000 000000 000000 000000* +L0011976 011010 001111 111111 000011* +L0012000 000000 000000 000000 000000* +L0012024 000000 000000 000000 000000* +L0012048 000000 000000 000000 000000* +L0012072 000000 000000 000000 000000* +L0012096 00000000 00000000 00000000 00000000* +L0012128 00000000 00000000 00000000 00000000* +L0012160 00000000 00000000 00000000 00000000* +L0012192 00000000 00000000 00000000 00000000* +L0012224 00000000 00000000 00000000 00000000* +L0012256 00000000 00000000 00000000 00000000* +L0012288 00000000 00000000 00000000 00000000* +L0012320 00000000 00000000 00000000 00000000* +L0012352 00000000 00000000 00000000 00000000* +L0012384 000000 000000 000000 000000* +L0012408 000000 000000 000000 000000* +L0012432 000000 000000 000000 000000* +L0012456 000000 000000 000000 000000* +L0012480 000000 000000 000000 000000* +L0012504 000000 000000 000000 000000* +L0012528 00000000 00000000 00000000 00000000* +L0012560 00000000 00000000 00000000 00000000* +L0012592 00000000 00000000 00000000 00000000* +L0012624 00000000 00000000 00000000 00000000* +L0012656 00000000 00000000 00000000 00000000* +L0012688 00000000 00000000 00000000 00000000* +L0012720 00000000 00000000 00000000 00000000* +L0012752 00000000 00000000 00000000 00000000* +L0012784 00000000 00000000 00000000 00000000* +L0012816 000000 000000 000000 000000* +L0012840 000000 000000 000000 000000* +L0012864 000000 000010 000000 000001* +L0012888 000000 000010 000000 000000* +L0012912 000000 000000 000000 000000* +L0012936 000000 000000 000000 000000* +L0012960 00000000 00000000 00000000 00000000* +L0012992 00000001 00000000 00000010 00000000* +L0013024 00000000 00000000 00000000 00000000* +L0013056 00000000 00000000 00000000 00000000* +L0013088 00000001 00000000 00000010 00000000* +L0013120 00000011 00000000 00000000 00000000* +L0013152 00000000 00000000 00000000 00000000* +L0013184 00000011 00000000 00000000 00000000* +L0013216 00000010 00000000 00000000 00000000* +L0013248 000000 000000 000000 000000* +L0013272 000000 000000 000000 000000* +L0013296 000000 000000 000000 000000* +L0013320 000000 000000 000000 000000* +L0013344 000000 000000 000000 000000* +L0013368 000000 000000 000000 000000* +L0013392 00000000 00000000 00000000 00000000* +L0013424 00000000 00000000 00000000 00000000* +L0013456 00000000 00000000 00000000 00000000* +L0013488 00000000 00000000 00000000 00000000* +L0013520 00000000 00000000 00000000 00000000* +L0013552 00000000 00000000 00000000 00000000* +L0013584 00000000 00000000 00000000 00000000* +L0013616 00000000 00000000 00000000 00000000* +L0013648 00000000 00000000 00000000 00000000* +L0013680 000000 000000 000000 000000* +L0013704 000000 000000 000000 000000* +L0013728 000000 000000 000000 000000* +L0013752 000000 000000 000000 000000* +L0013776 000000 000000 000000 000000* +L0013800 000000 000000 000000 000000* +L0013824 00000000 00000000 00000000 00000000* +L0013856 00000000 00000000 00000000 00000000* +L0013888 00000000 00000000 00000000 00000000* +L0013920 00000000 00000000 00000000 00000000* +L0013952 00000000 00000000 00000000 00000000* +L0013984 00000000 00000000 00000000 00000000* +L0014016 00000000 00000000 00000000 00000000* +L0014048 00000000 00000000 00000000 00000000* +L0014080 00000000 00000000 00000000 00000000* +L0014112 000000 000000 000000 000000* +L0014136 000000 000000 000000 000000* +L0014160 000000 000000 000000 000000* +L0014184 000000 000000 000000 000000* +L0014208 000000 000000 000000 000000* +L0014232 000000 000000 000000 000000* +L0014256 00000000 00000001 00000011 00000010* +L0014288 00000001 00000001 00000011 00000000* +L0014320 00000000 00000001 00000011 00000000* +L0014352 00000000 00000001 00000011 00000001* +L0014384 00000001 00000001 00000011 00000001* +L0014416 00000011 00000001 00000011 00000001* +L0014448 00000000 00000001 00000011 00000000* +L0014480 00000011 00000011 00000011 00000001* +L0014512 00000010 00000011 00000011 00000001* +L0014544 000000 000000 000000 000000* +L0014568 000000 000000 000000 000000* +L0014592 000000 000000 000000 000000* +L0014616 000000 000000 000000 000000* +L0014640 000000 000000 000000 000000* +L0014664 000000 000000 000000 000000* +L0014688 00000000 00000001 00000011 00000010* +L0014720 00000001 00000001 00000011 00000000* +L0014752 00000000 00000001 00000011 00000000* +L0014784 00000000 00000001 00000011 00000001* +L0014816 00000001 00000001 00000011 00000001* +L0014848 00000011 00000001 00000011 00000001* +L0014880 00000000 00000001 00000011 00000000* +L0014912 00000011 00000011 00000011 00000001* +L0014944 00000010 00000011 00000011 00000001* +L0014976 000000 000000 000000 000000* +L0015000 000000 000000 000000 000000* +L0015024 000000 000000 000000 000000* +L0015048 000000 000000 000000 000000* +L0015072 000000 000000 000000 000000* +L0015096 000000 000000 000000 000000* +L0015120 00000000 00000000 00000000 00000000* +L0015152 00000000 00000000 00000000 00000000* +L0015184 00000000 00000000 00000000 00000000* +L0015216 00000000 00000000 00000000 00000000* +L0015248 00000000 00000000 00000000 00000000* +L0015280 00000000 00000000 00000000 00000000* +L0015312 00000000 00000000 00000000 00000000* +L0015344 00000000 00000000 00000000 00000000* +L0015376 00000000 00000000 00000000 00000000* +L0015408 000000 000000 000000 000000* +L0015432 000000 000000 000000 000000* +L0015456 000000 000000 000000 000000* +L0015480 000000 000000 000000 000000* +L0015504 000000 000000 000000 000000* +L0015528 000000 000000 000000 000000* +L0015552 00000000 00000000 00000000 00000000* +L0015584 00000000 00000000 00000000 00000000* +L0015616 00000000 00000000 00000000 00000000* +L0015648 00000000 00000000 00000000 00000000* +L0015680 00000000 00000000 00000000 00000000* +L0015712 00000000 00000000 00000000 00000000* +L0015744 00000000 00000000 00000000 00000000* +L0015776 00000000 00000000 00000000 00000000* +L0015808 00000000 00000000 00000000 00000000* +L0015840 000000 000000 000000 000000* +L0015864 000000 000000 000000 000000* +L0015888 000000 000000 000000 000000* +L0015912 000000 000000 000000 000000* +L0015936 000000 000000 000000 000000* +L0015960 000000 000000 000000 000000* +L0015984 00000000 00000000 00000000 00000000* +L0016016 00000000 00000000 00000000 00000000* +L0016048 00000000 00000000 00000000 00000000* +L0016080 00000000 00000000 00000000 00000000* +L0016112 00000000 00000000 00000000 00000000* +L0016144 00000000 00000000 00000000 00000000* +L0016176 00000000 00000000 00000000 00000000* +L0016208 00000000 00000000 00000000 00000000* +L0016240 00000000 00000000 00000000 00000000* +L0016272 000000 000000 000000 000000* +L0016296 000000 000000 000000 000000* +L0016320 000000 000000 000000 000000* +L0016344 000000 000000 000000 000000* +L0016368 000000 000000 000000 000000* +L0016392 000000 000000 000000 000000* +L0016416 00000000 00000000 00000000 00000000* +L0016448 00000000 00000000 00000000 00000000* +L0016480 00000000 00000000 00000000 00000000* +L0016512 00000000 00000000 00000000 00000000* +L0016544 00000000 00000000 00000000 00000000* +L0016576 00000000 00000000 00000000 00000000* +L0016608 00000000 00000000 00000000 00000000* +L0016640 00000000 00000000 00000000 00000000* +L0016672 00000000 00000000 00000000 00000000* +L0016704 000000 000000 000000 000000* +L0016728 000000 000000 000000 000000* +L0016752 000000 000000 000000 000000* +L0016776 000000 000000 000000 000000* +L0016800 000000 000000 000000 000000* +L0016824 000000 000000 000000 000000* +L0016848 00000000 00000001 00000001 00000000* +L0016880 00000000 00000001 00000011 00000000* +L0016912 00000000 00000001 00000010 00000000* +L0016944 00000000 00011101 00000000 00000000* +L0016976 00000000 00011101 00000000 00000000* +L0017008 00000000 00000001 00000010 00000000* +L0017040 00000000 00001001 00000010 00000100* +L0017072 00000001 00001011 00000010 00000000* +L0017104 00000000 00110110 00000010 00000000* +L0017136 000000 001101 000000 000000* +L0017160 000000 000000 000000 000000* +L0017184 000000 000000 000000 000000* +L0017208 000000 000000 000000 000000* +L0017232 000000 001110 000000 000000* +L0017256 000000 001110 000000 000000* +L0017280 00000000 00000000 00000000 00000000* +L0017312 00000000 00000000 00000000 00000000* +L0017344 00000000 00000000 00000000 00000000* +L0017376 00000000 00000000 00000000 00000000* +L0017408 00000000 00000000 00000000 00000000* +L0017440 00000000 00000000 00000000 00000000* +L0017472 00000000 00000000 00000000 00000000* +L0017504 00000000 00000000 00000000 00000000* +L0017536 00000000 00000000 00000000 00000000* +L0017568 000000 000000 000000 000000* +L0017592 000000 000000 000000 000000* +L0017616 000000 000000 000000 000000* +L0017640 000000 000000 000000 000000* +L0017664 000000 000000 000000 000000* +L0017688 000000 000000 000000 000000* +L0017712 00000000 00000000 00000000 00000000* +L0017744 00000000 00000000 00000000 00000000* +L0017776 00000000 00000000 00000000 00000000* +L0017808 00000000 00000000 00000000 00000000* +L0017840 00000000 00000000 00000000 00000000* +L0017872 00000000 00000000 00000000 00000000* +L0017904 00000000 00000000 00000000 00000000* +L0017936 00000000 00000000 00000000 00000000* +L0017968 00000000 00000000 00000000 00000000* +L0018000 000000 000000 000000 000000* +L0018024 000000 000000 000000 000000* +L0018048 000000 000000 000000 000000* +L0018072 000000 000000 000000 000000* +L0018096 000000 000000 000000 000000* +L0018120 000000 000000 000000 000000* +L0018144 00000000 00000000 00000000 00000000* +L0018176 00000000 00000000 01000000 00000000* +L0018208 00000000 00000000 01000000 00000000* +L0018240 00000000 00000000 00000000 00000000* +L0018272 00000000 00000000 00000000 00000000* +L0018304 00000000 00000000 00000000 00000000* +L0018336 00000000 00000000 00000000 00000000* +L0018368 00000000 00000000 00000000 00000000* +L0018400 00000000 00000000 00000000 00000000* +L0018432 000000 000000 000100 000000* +L0018456 000000 000000 000000 000000* +L0018480 000000 000000 000000 000000* +L0018504 000000 000000 000100 000000* +L0018528 000000 000000 000000 000000* +L0018552 000000 000000 000000 000000* +L0018576 00010000 00000000 00000000 00000000* +L0018608 00000000 00000000 00000000 00000000* +L0018640 00000000 00000000 00000000 00000000* +L0018672 00000000 00011100 00010000 00000000* +L0018704 00000000 00011100 00010000 00000000* +L0018736 00000000 00000000 00000000 00000000* +L0018768 00000000 00000000 00000000 00000000* +L0018800 00000000 00000000 00000000 00000100* +L0018832 00000000 00111100 00010000 00000100* +L0018864 000000 001111 000000 000000* +L0018888 000000 000000 000000 000000* +L0018912 000000 000000 000101 000000* +L0018936 000000 000000 000000 000000* +L0018960 000000 001110 000000 000000* +L0018984 000000 001110 000000 000000* +L0019008 00000000 00000000 00000000 00000000* +L0019040 00000001 00000000 00000010 00000000* +L0019072 00000000 00000000 00000000 00000000* +L0019104 00000000 00000000 00000000 00000000* +L0019136 00000001 00000000 00000010 00000000* +L0019168 00000011 00000000 00000000 00000000* +L0019200 00000000 00000000 00000000 00000000* +L0019232 00000011 00000000 00000000 00000000* +L0019264 00000010 00000000 00000000 00000000* +L0019296 000000 000000 000000 000000* +L0019320 000000 000000 000000 000000* +L0019344 000000 000000 000000 000000* +L0019368 000000 000000 000000 000000* +L0019392 000000 000000 000000 000000* +L0019416 000000 000000 000000 000000* +L0019440 00000101 00000011 00000011 00000010* +L0019472 00000001 00000001 00000011 00000010* +L0019504 00000001 00000001 00110011 00000001* +L0019536 00000001 00000001 00100011 00000001* +L0019568 00000011 00000001 00000011 00000001* +L0019600 00000011 00000001 00000011 00000001* +L0019632 00000011 00000001 00000011 00000001* +L0019664 00001011 00000011 10100011 00000001* +L0019696 00001010 00000011 10100011 00000001* +L0019728 000000 000000 000000 000000* +L0019752 000000 000000 000000 000000* +L0019776 000000 000000 010000 000000* +L0019800 000000 000000 011000 000000* +L0019824 000000 000000 001000 000000* +L0019848 000010 000000 001000 000000* +L0019872 00000001 00000011 00000011 00000010* +L0019904 00000001 00000001 00000011 00000010* +L0019936 00000001 00000001 00000011 00000001* +L0019968 00000001 00000001 00000011 00000001* +L0020000 00000001 00000001 00000011 00000001* +L0020032 00000011 00000001 00000011 00000001* +L0020064 00000001 00000001 00000011 00000001* +L0020096 00000011 00000011 00000011 00000001* +L0020128 00000010 00000011 00000011 00000001* +L0020160 000000 000000 000000 000000* +L0020184 000000 000000 000000 000000* +L0020208 000000 000000 000000 000000* +L0020232 000000 000000 000000 000000* +L0020256 000000 000000 000000 000000* +L0020280 000000 000000 000000 000000* +L0020304 00000001 00000001 00000011 00000010* +L0020336 00000001 00000001 00000011 00000010* +L0020368 00000000 00000001 00000011 00000001* +L0020400 00000000 00000001 00000011 00000001* +L0020432 00000001 00000001 00000011 00000001* +L0020464 00000011 00000001 00000011 00000001* +L0020496 00000000 00000001 00000011 00000000* +L0020528 00000011 00000011 00000011 00000001* +L0020560 00000010 00000011 00000011 00000001* +L0020592 000000 000000 000000 000000* +L0020616 000000 000000 000000 000000* +L0020640 000000 000000 000000 000000* +L0020664 000000 000000 000000 000000* +L0020688 000000 000000 000000 000000* +L0020712 000000 000000 000000 000000* +L0020736 00000001 00000001 00000011 00000010* +L0020768 00000001 00000001 00000011 00000010* +L0020800 00000000 00000001 00000001 00000000* +L0020832 00000000 00000001 00000011 00000001* +L0020864 00000001 00000001 00000011 00000001* +L0020896 00000011 00000001 00000011 00000001* +L0020928 00000000 00000001 00000011 00000000* +L0020960 00000011 00000011 00000011 00000001* +L0020992 00000010 00000011 00000011 00000001* +L0021024 000000 000000 000000 000000* +L0021048 000000 000000 000000 000000* +L0021072 000000 000000 000000 000000* +L0021096 000000 000000 000000 000000* +L0021120 000000 000000 000000 000000* +L0021144 000000 000000 000000 000000* +L0021168 00000000 00000001 00000011 00000010* +L0021200 00000001 00000001 00000011 00000000* +L0021232 00000000 00000001 00000001 00000000* +L0021264 00000000 00000001 00000001 00000001* +L0021296 00000001 00000001 00000011 00000001* +L0021328 00000011 00000001 00000001 00000001* +L0021360 00000000 00000001 00000001 00000000* +L0021392 00000011 00000011 00000001 00000001* +L0021424 00000010 00000011 00000011 00000001* +L0021456 000000 000000 000000 000000* +L0021480 000000 000000 000000 000000* +L0021504 000000 000000 000000 000000* +L0021528 000000 000000 000000 000000* +L0021552 000000 000000 000000 000000* +L0021576 000000 000000 000000 000000* +L0021600 00000010 00000010 00000010 00000011* +L0021632 00000000 00000001 00000000 00000001* +L0021664 00000000 00000000 00000000 00000000* +L0021696 00000000 00000000 00000000 00000000* +L0021728 00000010 00000011 00000010 00000011* +L0021760 00000000 00000000 00000000 00000000* +L0021792 00000000 00000000 00000000 00000000* +L0021824 00000000 00000000 00000000 00000000* +L0021856 00000000 00000000 00000000 00000000* +L0021888 000000 000000 000000 000000* +L0021912 000000 000000 000000 000000* +L0021936 000000 000000 000000 000000* +L0021960 000000 000000 000000 000000* +L0021984 000000 000000 000000 000000* +L0022008 000000 000000 000000 000000* +L0022032 00000010 00000010 00000010 00000010* +L0022064 00000000 00000000 00000000 00000000* +L0022096 00000000 00000000 00000000 00000000* +L0022128 00000000 00000000 00000000 00000000* +L0022160 00000011 00000010 00000010 00000010* +L0022192 00000000 00000000 00000000 00000000* +L0022224 00000000 00000000 01000000 00000000* +L0022256 00000000 00000000 00001000 00000000* +L0022288 00000000 00000000 01000000 00000000* +L0022320 000000 000000 000010 000000* +L0022344 000000 000000 000000 000000* +L0022368 000000 000000 000000 000000* +L0022392 000000 000000 000000 000000* +L0022416 000000 000000 000000 000000* +L0022440 000000 000000 000000 000000* +L0022464 00000010 00000010 00000010 00000011* +L0022496 00000000 00000000 00000000 00000001* +L0022528 00000000 00000000 00000000 00000000* +L0022560 00000000 00000000 00000000 00000000* +L0022592 00000010 00000010 00000010 00000011* +L0022624 00000000 00000000 00000000 00000000* +L0022656 00000000 00000000 00000000 00000000* +L0022688 00000000 00000000 00000000 00000000* +L0022720 00000000 00000000 00010000 00000000* +L0022752 000000 000000 000000 000000* +L0022776 000000 000000 000000 000000* +L0022800 000000 000000 000100 000000* +L0022824 000000 000000 000000 000000* +L0022848 000000 000000 000000 000000* +L0022872 000000 000000 000000 000000* +L0022896 00000000 00000000 00000000 00000000* +L0022928 00000000 00000000 00000000 00000000* +L0022960 00000000 00000000 00000000 00000000* +L0022992 00000000 00011100 00010000 00000000* +L0023024 00000001 00011100 00010000 00000000* +L0023056 00000000 00000000 00000000 00000000* +L0023088 00000000 00000000 00000100 00000000* +L0023120 00000000 00000000 00000000 00000000* +L0023152 00000000 00111100 00000000 00000000* +L0023184 000000 001111 000100 000000* +L0023208 000000 000000 000000 000000* +L0023232 000000 000000 000000 000000* +L0023256 000000 000000 000100 000000* +L0023280 000000 001110 000000 000000* +L0023304 000000 001110 000000 000000* +L0023328 00000001 00000000 00000011 00000000* +L0023360 00000000 00000000 00000000 00000000* +L0023392 00000000 00000000 00000000 00000000* +L0023424 00000000 00000000 00000000 00000000* +L0023456 00000001 00000000 00000011 00000000* +L0023488 00000000 00000000 00000000 00000000* +L0023520 00000000 00000000 00000000 00000000* +L0023552 00000000 00000000 00000000 00000000* +L0023584 00000000 00000000 00000000 00000000* +L0023616 000000 000000 000000 000000* +L0023640 000000 000000 000000 000000* +L0023664 000000 000000 000000 000000* +L0023688 000000 000000 000000 000000* +L0023712 000000 000000 000000 000000* +L0023736 000000 000000 000000 000000* +L0023760 00000000 00000000 00000000 00000000* +L0023792 00000001 00000001 00000000 00000000* +L0023824 00000000 00000000 00000000 00000000* +L0023856 00000000 00000100 00000000 00000000* +L0023888 00000011 00000101 00000000 00000000* +L0023920 00000000 00000000 00000000 00000000* +L0023952 00000000 00000100 00000000 00000000* +L0023984 00000000 00000100 00000000 00000000* +L0024016 00000000 00000000 00000000 00000000* +L0024048 000000 000000 000000 000000* +L0024072 000000 000000 000000 000000* +L0024096 000000 000001 000000 000000* +L0024120 000000 000000 000000 000001* +L0024144 000000 000010 000000 000011* +L0024168 000000 000010 000000 000000* +L0024192 00000010 00000000 00000010 00000000* +L0024224 00000000 00000000 00000000 00000000* +L0024256 00000000 00000000 00000000 00000000* +L0024288 00000000 00000000 00000000 00000000* +L0024320 00000010 00000000 00000010 00000000* +L0024352 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00000000 00000000* +L0024416 00000000 00000000 00000000 00000000* +L0024448 00000000 00000000 00000000 00000000* +L0024480 000000 000000 000000 000000* +L0024504 000000 000000 000000 000000* +L0024528 000000 000000 000000 000000* +L0024552 000000 000000 000000 000000* +L0024576 000000 000000 000000 000000* +L0024600 000000 000000 000000 000000* +L0024624 00000000 00000000 00000001 00000000* +L0024656 00010010 00000010 00000000 00000000* +L0024688 00010000 00000000 00000000 00000000* +L0024720 00000000 00000000 00000000 00000000* +L0024752 00000011 00000010 00000001 00000000* +L0024784 00000000 00000000 00000000 00000000* +L0024816 00000000 00000000 00000000 00000000* +L0024848 00100000 00000000 00000000 00000000* +L0024880 00100000 00000000 00000000 00000000* +L0024912 000000 000000 000000 000000* +L0024936 000000 000000 000000 000000* +L0024960 000000 000000 000000 000000* +L0024984 000000 000000 000000 000000* +L0025008 000000 000000 000000 000000* +L0025032 000000 000000 000000 000000* +L0025056 00000000 00000000 00000000 00000000* +L0025088 00000000 00000000 00000000 00000000* +L0025120 00000000 00000000 00000000 00000000* +L0025152 00000000 00000000 00000000 00000000* +L0025184 00000000 00000000 00000000 00000000* +L0025216 00000000 00000000 00000000 00000000* +L0025248 00000000 00000000 00000000 00000000* +L0025280 00000000 00000000 00000000 00000000* +L0025312 00000000 00000000 00000000 00000000* +L0025344 000000 000000 000000 000000* +L0025368 000000 000000 000000 000000* +L0025392 000000 000000 000000 000000* +L0025416 000000 000000 000000 000000* +L0025440 000000 000000 000000 000000* +L0025464 000000 000000 000000 000000* +L0025488 00000010 00000000 00000011 00000000* +L0025520 00000000 00000010 00000000 00000000* +L0025552 00000000 00000000 00000000 00000000* +L0025584 00000000 00000000 00000000 00001000* +L0025616 00000010 00000010 00000011 00000000* +L0025648 00000000 00000000 00000000 00000000* +L0025680 00000000 00000000 00000000 00000000* +L0025712 00000000 00000000 00000000 00001000* +L0025744 00000000 00000000 00000000 00000000* +L0025776 000000 000000 000000 000000* +L0025800 000000 000000 000000 000000* +L0025824 000000 000000 000000 000000* +L0025848 000000 000000 000000 000010* +L0025872 000000 000000 000000 000000* +L0025896 000000 000000 000000 000000* +L0025920 00000000 00000011 00000001 00000001* +L0025952 00000000 00000001 00000000 00000001* +L0025984 00000000 00000000 00000000 00000000* +L0026016 00000000 00000000 00000000 00000000* +L0026048 00000010 00000011 00000001 00000001* +L0026080 00000000 00000000 00000000 00000000* +L0026112 00000000 00000000 00000000 00000000* +L0026144 00000000 00000000 00000000 00000000* +L0026176 00000000 00000000 00000000 00000000* +L0026208 000000 000000 000000 000000* +L0026232 000000 000000 000000 000000* +L0026256 000000 000000 000000 000000* +L0026280 000000 000000 000000 000000* +L0026304 000000 000000 000000 000000* +L0026328 000000 000000 000000 000000* +L0026352 00001001 00000000 00000001 00000000* +L0026384 00000010 00000010 00000010 00000010* +L0026416 00000000 00000000 00000000 00000000* +L0026448 00000000 00000000 00000000 00000000* +L0026480 00000001 00000000 00000001 00000000* +L0026512 00000000 00000000 00000000 00000000* +L0026544 00000000 00000000 00000000 00000000* +L0026576 00010000 00000000 00000000 00000000* +L0026608 00010000 00000000 00000000 00000000* +L0026640 000010 000000 000000 000000* +L0026664 000000 000000 000000 000000* +L0026688 000000 000000 000000 000000* +L0026712 000000 000000 000000 000000* +L0026736 000000 000000 000000 000000* +L0026760 000000 000000 000000 000000* +L0026784 00000000 00000000 00000000 00000010* +L0026816 00000000 00000000 00000000 00000010* +L0026848 00000000 00000000 00000000 00000000* +L0026880 00000000 00000000 00000000 00000000* +L0026912 00000000 00000000 00000000 00000010* +L0026944 00000000 00000000 00000000 00000000* +L0026976 00000000 00000000 00000000 00000000* +L0027008 00000000 00000000 00000000 00000000* +L0027040 00000000 00000000 00000000 00000000* +L0027072 000000 000000 000000 000000* +L0027096 000000 000000 000000 000000* +L0027120 000000 000000 000000 000000* +L0027144 000000 000000 000000 000000* +L0027168 000000 000000 000000 000000* +L0027192 000000 000000 000000 000000* +L0027216 00000000 00000000 00000000 00000000* +L0027248 00000010 00000010 00100010 00000010* +L0027280 00000000 00000000 00110000 00000000* +L0027312 00000000 00000000 00100000 00000000* +L0027344 00000000 00000000 00000000 00000000* +L0027376 00000000 00000000 00000000 00000000* +L0027408 00000000 00000000 00000000 00000000* +L0027440 00000100 00000000 10100000 00000000* +L0027472 00000100 00000000 10100000 00000000* +L0027504 000001 000000 000000 000000* +L0027528 000000 000000 000000 000000* +L0027552 000000 000000 010000 000000* +L0027576 000000 000000 011000 000000* +L0027600 000000 000000 011000 000000* +L0027624 000000 000000 001000 000000* +L0027648 00000000 00000000 00000000 00000000* +L0027680 00000001 00000011 00000000 00000010* +L0027712 00000000 00000000 00000000 00000000* +L0027744 00000000 00000000 00000000 00000000* +L0027776 00000001 00000011 00000000 00000010* +L0027808 00000000 00000000 00000000 00000000* +L0027840 00000000 00000000 00000000 00000000* +L0027872 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000000* +L0027936 000000 000000 000000 000000* +L0027960 000000 000000 000000 000000* +L0027984 000000 000000 000000 000000* +L0028008 000000 000000 000000 000000* +L0028032 000000 000000 000000 000000* +L0028056 000000 000000 000000 000000* +L0028080 00000000 00000000 00000000 00000000* +L0028112 00000001 00000001 00000000 00000000* +L0028144 00000000 00000000 00000000 00000000* +L0028176 00000000 00000100 00000000 00000000* +L0028208 00000001 00000101 00000000 00000000* +L0028240 00000000 00000000 00000000 00000000* +L0028272 00001000 00000000 00000000 00000000* +L0028304 00000000 00000000 00000000 00000000* +L0028336 00000000 00100100 00000000 00000000* +L0028368 000000 001001 000000 000000* +L0028392 000000 000000 000000 000000* +L0028416 000000 001000 000000 000000* +L0028440 000000 001000 000000 000000* +L0028464 000000 000010 000000 000000* +L0028488 000000 000010 000000 000000* +L0028512 00000000 00000000 00000000 00000000* +L0028544 00000000 00000001 00000000 00000000* +L0028576 00000000 00000000 00000000 00000000* +L0028608 00000000 00000000 00000000 00000000* +L0028640 00000000 00000001 00000000 00000000* +L0028672 00000000 00000000 00000000 00000000* +L0028704 00000000 00000000 00000000 00000000* +L0028736 00000000 00000000 00000000 00000000* +L0028768 00000000 00000000 00000000 00000000* +L0028800 000000 000000 000000 000000* +L0028824 000000 000000 000000 000000* +L0028848 000000 000000 000000 000000* +L0028872 000000 000000 000000 000000* +L0028896 000000 000000 000000 000000* +L0028920 000000 000000 000000 000000* +L0028944 00000000 00000000 00000001 00000000* +L0028976 00000000 00000001 00000000 00000001* +L0029008 00000000 00000000 00000000 00000000* +L0029040 00000000 00000000 00000000 00000000* +L0029072 00000000 00000001 00000001 00000001* +L0029104 00000000 00000000 00000000 00000000* +L0029136 00000000 00000000 00000000 00000000* +L0029168 00000000 00000000 00000000 00000000* +L0029200 00000000 00000000 00000000 00000000* +L0029232 000000 000000 000000 000000* +L0029256 000000 000000 000000 000000* +L0029280 000000 000000 000000 000000* +L0029304 000000 000000 000000 000000* +L0029328 000000 000000 000000 000000* +L0029352 000000 000000 000000 000000* +L0029376 00000000 00000000 00000000 00000000* +L0029408 00000000 00000000 00000000 00000000* +L0029440 00000000 00000000 00000000 00000000* +L0029472 00000000 00000000 00000000 00000000* +L0029504 00000000 00000000 00000000 00000000* +L0029536 00000000 00000000 00000000 00000000* +L0029568 00000000 00000000 00000000 00000000* +L0029600 00000000 00000000 00000000 00000000* +L0029632 00000000 00000000 00000000 00000000* +L0029664 000000 000000 000000 000000* +L0029688 000000 000000 000000 000000* +L0029712 000000 000000 000000 000000* +L0029736 000000 000000 000000 000000* +L0029760 000000 000000 000000 000000* +L0029784 000000 000000 000000 000000* +L0029808 00000001 00000000 00000001 00000001* +L0029840 00000000 00000010 00000001 00000011* +L0029872 00000000 00000000 00000000 00000000* +L0029904 00000000 00000000 00000000 00000000* +L0029936 00000001 00000010 00000001 00000011* +L0029968 00000000 00000000 00000000 00000000* +L0030000 00000000 00000000 00001000 00000000* +L0030032 00000000 00000000 00000000 00000000* +L0030064 00000000 00000000 00001000 00000000* +L0030096 001000 000000 000000 000000* +L0030120 000000 000000 000000 000000* +L0030144 000000 000000 000000 000000* +L0030168 010000 000000 000000 000000* +L0030192 011000 000000 000100 000000* +L0030216 000000 000000 000000 000000* +L0030240 00000000 00000000 00000000 00000000* +L0030272 00000000 00000000 00000000 00000000* +L0030304 00000000 00000000 00000000 00000000* +L0030336 00000000 00000000 00000000 00000000* +L0030368 00000000 00000000 00000000 00000000* +L0030400 00000000 00000000 00000000 00000000* +L0030432 00000000 00000000 00000000 00000000* +L0030464 00000000 00000000 00000000 00000000* +L0030496 00000000 00000000 00000000 00000000* +L0030528 000000 000000 000000 000000* +L0030552 000000 000000 000000 000000* +L0030576 000000 000000 000000 000000* +L0030600 000000 000000 000000 000000* +L0030624 000000 000000 000000 000000* +L0030648 000000 000000 000000 000000* +L0030672 00000000 00000010 00000010 00000000* +L0030704 00000010 00000000 00000000 00000000* +L0030736 00000000 00000000 00000000 00000000* +L0030768 00000000 00000000 00000000 00000000* +L0030800 00000011 00000011 00000011 00000011* +L0030832 00000000 00000000 00000000 00000000* +L0030864 00000000 00000000 00000000 00000000* +L0030896 00000000 00000000 00000000 00000000* +L0030928 00000000 00000000 00000000 00000000* +L0030960 000000 000000 000000 000000* +L0030984 000000 000000 000000 000000* +L0031008 000000 000000 000000 000000* +L0031032 000000 000000 000000 000000* +L0031056 000000 000000 000000 000000* +L0031080 000000 000000 000000 000000* +L0031104 00000000 00000000 00000010 00000000* +L0031136 00000000 00000000 00000000 00000000* +L0031168 00000000 00000000 00000000 00000000* +L0031200 00000000 00000000 00000000 00000000* +L0031232 00000010 00000000 00000010 00000000* +L0031264 00000000 00000000 00000000 00000000* +L0031296 00000000 00000000 00000000 00000000* +L0031328 00000000 00000000 00000000 00000000* +L0031360 00000000 00000000 00000000 00000000* +L0031392 000000 000000 000000 000000* +L0031416 000000 000000 000000 000000* +L0031440 000000 000000 000000 000000* +L0031464 000000 000000 000000 000000* +L0031488 000000 000000 000000 000000* +L0031512 000000 000000 000000 000000* +L0031536 00000000 00000000 00000000 00000000* +L0031568 00000000 00000000 00000000 00000000* +L0031600 00000000 00000000 00000000 00000000* +L0031632 00000000 00000000 00000000 00000000* +L0031664 00000000 00000000 00000000 00000000* +L0031696 00000000 00000000 00000000 00000000* +L0031728 00000000 00000000 00000000 00000000* +L0031760 00000000 00000000 00000100 00000000* +L0031792 00000000 00000000 00000100 00000000* +L0031824 000000 000000 000000 000000* +L0031848 000000 000000 000000 000000* +L0031872 000000 000000 000000 000000* +L0031896 000000 000000 000010 000000* +L0031920 000000 000000 000010 000000* +L0031944 000000 000000 000000 000000* +L0031968 00000001 00000001 00000001 00000001* +L0032000 00000000 00000000 00000000 00000000* +L0032032 00000000 00000000 00000000 00000000* +L0032064 00000000 00000000 00000000 00000000* +L0032096 00000001 00000001 00000001 00000001* +L0032128 00000000 00000000 00000000 00000000* +L0032160 00000000 00000000 00000000 00000000* +L0032192 00000000 00000000 00000000 00000000* +L0032224 00000000 00000000 00000000 00000000* +L0032256 000000 000000 000000 000000* +L0032280 000000 000000 000000 000000* +L0032304 000000 000000 000000 000000* +L0032328 000000 000000 000000 000000* +L0032352 000000 000000 000000 000000* +L0032376 000000 000000 000000 000000* +L0032400 00000000 00000000 00000011 00000000* +L0032432 00000001 00000000 00000100 00000000* +L0032464 00000000 00000000 00000000 00000000* +L0032496 00000000 00000000 00000000 00000000* +L0032528 00000001 00000000 00000011 00000000* +L0032560 00000000 00000000 00000000 00000000* +L0032592 00000000 00000000 00010000 10000000* +L0032624 00000000 00000000 00010000 10000000* +L0032656 00000000 00000000 00000000 00000000* +L0032688 000000 000000 000000 000000* +L0032712 000000 000000 000000 000000* +L0032736 000000 000000 000000 000000* +L0032760 000000 000001 000000 000000* +L0032784 000000 000001 000000 000000* +L0032808 000000 000000 000000 000000* +L0032832 00000000 00000010 00000010 00000001* +L0032864 00000001 00000001 00000000 00000001* +L0032896 00000000 00000000 00000000 00000000* +L0032928 00000000 00000000 00000000 00000000* +L0032960 00000001 00000011 00000010 00000001* +L0032992 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000* +L0033056 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 00000000* +L0033120 000000 000000 000000 000000* +L0033144 000000 000000 000000 000000* +L0033168 000000 000000 000000 000000* +L0033192 000000 000000 000000 000000* +L0033216 000000 000000 000000 000000* +L0033240 000000 000000 000000 000000* +L0033264 00000000 00000000 00000000 00000000* +L0033296 00000000 00000000 00100000 00000000* +L0033328 00000000 00000000 00110000 00000000* +L0033360 00000000 00000000 00100000 00000000* +L0033392 00000000 00000000 00000000 00000000* +L0033424 00000000 00000000 00000000 00000000* +L0033456 00000000 00000000 00100000 00000000* +L0033488 00000100 00000000 10100000 00000000* +L0033520 00000100 00000000 10100000 00000000* +L0033552 000001 000000 000000 000000* +L0033576 000000 000000 000000 000000* +L0033600 000000 000000 010000 000000* +L0033624 000000 000000 011000 000000* +L0033648 000000 000000 011000 000000* +L0033672 000000 000000 001000 000000* +L0033696 00000010 00000010 00000010 00000010* +L0033728 00000010 00000010 00000010 00000010* +L0033760 00000000 00000000 00000000 00000000* +L0033792 00000000 00000000 00000000 00000000* +L0033824 00000000 00000000 00000000 00000000* +L0033856 00000000 00000000 00000000 00000000* +L0033888 00000010 00000010 00000010 00000010* +L0033920 00000000 00000000 00000000 00000000* +L0033952 00000000 00000000 00000000 00000000* +L0033984 000000 000000 000000 000000* +L0034008 000000 000000 000000 000000* +L0034032 000000 000000 000000 000000* +L0034056 000000 000000 000000 000000* +L0034080 000000 000000 000000 000000* +L0034104 000000 000000 000000 000000* +L0034128 00000000 00000000 00000000 00000000* +L0034160 00000000 00000000 00000000 00000000* +L0034192 00000000 00000000 00000000 00000000* +L0034224 00000000 00000000 00000000 00000000* +L0034256 00000000 00000000 00000000 00000000* +L0034288 00000000 00000000 00000000 00000000* +L0034320 00000000 00000000 00000000 00000000* +L0034352 00000000 00000000 00000000 00000000* +L0034384 00000000 00000000 00000000 00000000* +L0034416 000000 000000 000000 000000* +L0034440 000000 000000 000000 000000* +L0034464 000000 000000 000000 000000* +L0034488 000000 000000 000000 000000* +L0034512 000000 000000 000000 000000* +L0034536 000000 000000 000000 000000* +L0034560 00000000 00000000 00000000 00000000* +L0034592 00000000 00000000 00000000 00000000* +L0034624 00000000 00000000 00000000 00000000* +L0034656 00000000 00000000 00000000 00000000* +L0034688 00000000 00000000 00000000 00000000* +L0034720 00000000 00000000 00000000 00000000* +L0034752 00000000 00000000 00000000 00000000* +L0034784 00000000 00000000 00000000 00000000* +L0034816 00000000 00000000 00000000 00000000* +L0034848 000000 000000 000000 000000* +L0034872 000000 000000 000000 000000* +L0034896 000000 000000 000000 000000* +L0034920 000000 000000 000000 000000* +L0034944 000000 000000 000000 000000* +L0034968 000000 000000 000000 000000* +L0034992 00000000 00000000 00000000 00000000* +L0035024 00000000 00000000 00000000 00000000* +L0035056 00000000 00000000 00000000 00000000* +L0035088 00000000 00000000 00000000 00000000* +L0035120 00000000 00000000 00000000 00000000* +L0035152 00000000 00000000 00000000 00000000* +L0035184 00000000 00000000 00000000 00000000* +L0035216 00000000 00000000 00000000 00000000* +L0035248 00000000 00000000 00000000 00000000* +L0035280 000000 000000 000000 000000* +L0035304 000000 000000 000000 000000* +L0035328 000000 000000 000000 000000* +L0035352 000000 000000 000000 000000* +L0035376 000000 000000 000000 000000* +L0035400 000000 000000 000000 000000* +L0035424 00000000 00000000 00000000 00000000* +L0035456 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000* +L0035520 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000* +L0035584 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 00000000* +L0035648 00000000 00000000 00000000 00000000* +L0035680 00000000 00000000 00000000 00000000* +L0035712 000000 000000 000000 000000* +L0035736 000000 000000 000000 000000* +L0035760 000000 000000 000000 000000* +L0035784 000000 000000 000000 000000* +L0035808 000000 000000 000000 000000* +L0035832 000000 000000 000000 000000* +L0035856 00000000 00000000 00000000 00000000* +L0035888 00000000 00001000 00000000 00000000* +L0035920 00000000 00001000 00000000 00000000* +L0035952 00000000 00010100 00000000 00000000* +L0035984 00000000 00010100 00000000 00000000* +L0036016 00000000 00000000 00000000 00000000* +L0036048 00000000 00000000 00000000 00000000* +L0036080 00000000 00000000 00000000 00000000* +L0036112 00000000 00110100 00000000 00000000* +L0036144 000000 001101 000000 000000* +L0036168 000000 000000 000000 000000* +L0036192 010000 000000 000000 000000* +L0036216 000000 000000 000000 000000* +L0036240 000000 001110 000000 000000* +L0036264 000000 001110 000000 000000* +L0036288 00000000 00000000 00000000 00000000* +L0036320 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00000000* +L0036384 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000000* +L0036448 00000000 00000000 00000000 00000000* +L0036480 00000000 00000000 00000000 00000000* +L0036512 00000000 00000000 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000* +L0036576 000000 000000 000000 000000* +L0036600 000000 000000 000000 000000* +L0036624 000000 000000 000000 000000* +L0036648 000000 000000 000000 000000* +L0036672 000000 000000 000000 000000* +L0036696 000000 000000 000000 000000* +L0036720 00000000 00000000 00000000 00000000* +L0036752 00000000 00000000 00000000 00000000* +L0036784 00000000 00000000 00000000 00000000* +L0036816 00000000 00010100 00000000 00000000* +L0036848 00000000 00010100 00000000 00000000* +L0036880 00000000 00000000 00000000 00000000* +L0036912 00100000 00000000 00000000 00000000* +L0036944 00000000 00000000 00000000 00000000* +L0036976 00000000 00110100 00000000 00000000* +L0037008 000000 001101 000000 000000* +L0037032 000000 000000 000000 000000* +L0037056 000000 000100 000000 000000* +L0037080 000000 000100 000000 000000* +L0037104 000000 001010 000000 000000* +L0037128 000000 001010 000000 000000* +L0037152 00000000 00000000 00000000 00000000* +L0037184 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00000000 00000000* +L0037248 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 00000000* +L0037312 00000000 00000000 00000000 00000000* +L0037344 00000000 00000000 00000000 00000000* +L0037376 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 00000000 00000000* +L0037440 000000 000000 000000 000000* +L0037464 000000 000000 000000 000000* +L0037488 000000 000000 000000 000000* +L0037512 000000 000000 000000 000000* +L0037536 000000 000000 000000 000000* +L0037560 000000 000000 000000 000000* +L0037584 00000000 00000000 00000000 00000000* +L0037616 00000000 00000000 00000000 00000000* +L0037648 00000000 00000000 00000000 00000000* +L0037680 00000000 00010100 00000000 00000000* +L0037712 00000000 00010100 00000000 00000000* +L0037744 00000000 00000000 00000000 00000000* +L0037776 00000000 00010000 00000000 00000000* +L0037808 00000000 00010000 00000000 00000000* +L0037840 00000000 00100100 00000000 00000000* +L0037872 000000 001001 000000 000000* +L0037896 000000 000000 000000 000000* +L0037920 000000 000000 000000 000000* +L0037944 000000 000000 000000 000000* +L0037968 000000 001010 000000 000000* +L0037992 000000 001010 000000 000000* +L0038016 00000000 00000000 00000000 00000000* +L0038048 00000000 00000000 00000000 00000000* +L0038080 00000000 00000000 00000000 00000000* +L0038112 00000000 00000000 00000000 00000000* +L0038144 00000000 00000000 00000000 00000000* +L0038176 00000000 00000000 00000000 00000000* +L0038208 00000000 00000000 00000000 00000000* +L0038240 00000000 00000000 00000000 00000000* +L0038272 00000000 00000000 00000000 00000000* +L0038304 000000 000000 000000 000000* +L0038328 000000 000000 000000 000000* +L0038352 000000 000000 000000 000000* +L0038376 000000 000000 000000 000000* +L0038400 000000 000000 000000 000000* +L0038424 000000 000000 000000 000000* +L0038448 00000000 00000000 00000000 00000000* +L0038480 00000000 00000100 00000000 00000000* +L0038512 00000000 00000100 00000000 00000000* +L0038544 00000000 00000000 00000000 00000000* +L0038576 00000000 00000000 00000100 00000000* +L0038608 00000000 00000000 00000000 10000000* +L0038640 00000000 00000000 00000000 00000000* +L0038672 00000000 00000000 00000000 00000000* +L0038704 00000000 00000000 00000000 00000000* +L0038736 000000 000000 000001 000000* +L0038760 000000 000000 000000 000000* +L0038784 000000 000000 000000 000000* +L0038808 000000 000000 000001 000000* +L0038832 000000 000010 000001 000000* +L0038856 000000 000010 000000 000000* +L0038880 00000000 00000000 00000000 00000000* +L0038912 00000000 00000000 00000000 00000000* +L0038944 00000000 00000000 00000000 00000000* +L0038976 00000000 00000000 00000000 00000000* +L0039008 00000000 00000000 00000000 00000000* +L0039040 00000000 00000000 00000000 00000000* +L0039072 00000000 00000000 00000000 00000000* +L0039104 00000000 00000000 00000000 00000000* +L0039136 00000000 00000000 00000000 00000000* +L0039168 000000 000000 000000 000000* +L0039192 000000 000000 000000 000000* +L0039216 000000 000000 000000 000000* +L0039240 000000 000000 000000 000000* +L0039264 000000 000000 000000 000000* +L0039288 000000 000000 000000 000000* +L0039312 00000000 00000000 00000000 00000000* +L0039344 00000000 00000000 00000000 00000000* +L0039376 00000000 00000000 00000000 00000000* +L0039408 00000000 00000000 00000000 00000000* +L0039440 00000000 00000000 00000000 00000000* +L0039472 00000000 00000000 00000000 00000000* +L0039504 00000000 00000000 00000000 00000000* +L0039536 00000000 00000000 00000000 00000000* +L0039568 00000000 00000000 00000000 00000000* +L0039600 000000 000000 000000 000000* +L0039624 000000 000000 000000 000000* +L0039648 000000 000000 000000 000000* +L0039672 000000 000000 000000 000000* +L0039696 000000 000000 000000 000000* +L0039720 000000 000000 000000 000000* +L0039744 00000000 00000000 00000000 00000000* +L0039776 00000000 00000000 00000000 00000000* +L0039808 00000000 00000000 00000000 00000000* +L0039840 00000000 00000000 00000000 00000000* +L0039872 00000000 00000000 00000000 00000000* +L0039904 00000000 00000000 00000000 00000000* +L0039936 00000000 00000000 00000000 00000000* +L0039968 00000000 00000000 00000000 00000000* +L0040000 00000000 00000000 00000000 00000000* +L0040032 000000 000000 000000 000000* +L0040056 000000 000000 000000 000000* +L0040080 000000 000000 000000 000000* +L0040104 000000 000000 000000 000000* +L0040128 000000 000000 000000 000000* +L0040152 000000 000000 000000 000000* +L0040176 00000000 00000000 00000000 00000100* +L0040208 00000000 00000000 00000000 00000000* +L0040240 00000000 00000000 00000100 00000000* +L0040272 00000000 00000000 00000100 00000000* +L0040304 00000000 00000000 00000000 00000000* +L0040336 00000000 00000000 00000000 00000000* +L0040368 00000000 00000000 00000000 00000000* +L0040400 00000100 00000000 00000000 00000000* +L0040432 00000100 00000000 00000000 00000000* +L0040464 000001 000000 000000 000001* +L0040488 000000 000000 000000 000000* +L0040512 000000 000000 000000 000000* +L0040536 000000 000000 000000 000000* +L0040560 000000 000000 000000 000000* +L0040584 000000 000000 000000 000000* +L0040608 00000000 00000000 00000000 00000000* +L0040640 00000000 00000000 00000000 00000000* +L0040672 00000000 00000000 00000000 00000000* +L0040704 00000000 00000000 00000000 00000000* +L0040736 00000000 00000000 00000000 00000000* +L0040768 00000000 00000000 00000000 00000000* +L0040800 00000000 00000000 00000000 00000000* +L0040832 00000000 00000000 00000000 00000000* +L0040864 00000000 00000000 00000000 00000000* +L0040896 000000 000000 000000 000000* +L0040920 000000 000000 000000 000000* +L0040944 000000 000000 000000 000000* +L0040968 000000 000000 000000 000000* +L0040992 000000 000000 000000 000000* +L0041016 000000 000000 000000 000000* +L0041040 00000000 00000000 00000000 00000000* +L0041072 00000000 00000000 00000000 00000000* +L0041104 00000000 00000000 00000000 00000000* +L0041136 00000000 00000000 00000000 00000000* +L0041168 00000000 00000000 00000000 00000000* +L0041200 00000000 00000000 00000000 00000000* +L0041232 00000000 00000000 00000000 00000000* +L0041264 00000000 00000000 00000000 00000000* +L0041296 00000000 00000000 00000000 00000000* +L0041328 000000 000000 000000 000000* +L0041352 000000 000000 000000 000000* +L0041376 000000 000000 000000 000000* +L0041400 000000 000000 000000 000000* +L0041424 000000 000000 000000 000000* +L0041448 000000 000000 000000 000000* +L0041472 00101100 10000000 00000000 00000100* +L0041504 00011100 10000000 00000000 00000100* +L0041536 00010000 00000000 10000000 00000000* +L0041568 00010000 00000000 11000000 10001000* +L0041600 00000000 00000000 10001100 10001000* +L0041632 01000000 00000000 00000000 00000000* +L0041664 00000100 00000000 10000000 10000000* +L0041696 00000000 00000000 01001000 10001000* +L0041728 00000000 00000000 00000000 10000000* +L0041760 001110 000000 110011 000011* +L0041784 000100 000000 000000 000000* +L0041808 000100 000000 000000 000000* +L0041832 000000 000000 100010 000000* +L0041856 001000 000000 000110 000010* +L0041880 011010 000001 000111 000001* +L0041904 00000000 00000000 00000000 00000000* +L0041936 00000000 00000000 00110000 10001000* +L0041968 00000000 00000000 00111100 10000000* +L0042000 00000000 00000000 00100100 00000000* +L0042032 00000000 00000000 00000000 00000000* +L0042064 00000000 00000000 00000000 00000000* +L0042096 00000000 00000000 01101000 00001000* +L0042128 00111100 00000000 10100100 00000100* +L0042160 00111100 00000000 11101100 00001100* +L0042192 000001 000000 000000 000000* +L0042216 000000 000000 000000 000100* +L0042240 001000 000000 011000 000000* +L0042264 011010 000001 011001 000011* +L0042288 010010 000001 011001 000001* +L0042312 000000 000000 001000 000000* +L0042336 00000000 00000000 00000000 00000000* +L0042368 00000000 00000000 00000000 00000000* +L0042400 00000000 00000000 00000000 00000000* +L0042432 00000000 00000000 00000000 00000000* +L0042464 00000000 00000000 00000000 00000000* +L0042496 00000000 00000000 00000000 00000000* +L0042528 00000000 00000000 00000000 00000000* +L0042560 00000000 00000000 00000000 00000000* +L0042592 00000000 00000000 00000000 00000000* +L0042624 000000 000000 000000 000000* +L0042648 000000 000000 000000 000000* +L0042672 000000 000000 000000 000000* +L0042696 000000 000000 000000 000000* +L0042720 000000 000000 000000 000000* +L0042744 000000 000000 000000 000000* +L0042768 00000000 00000000 00000000 00000000* +L0042800 00000000 00000000 00000000 00000000* +L0042832 00000000 00000000 00000000 00000000* +L0042864 00000000 00000000 00000000 00000000* +L0042896 00000000 00000000 00000000 00000000* +L0042928 00000000 00000000 00000000 00000000* +L0042960 00000000 00000000 00000000 00000000* +L0042992 00000000 00000000 00000000 00000000* +L0043024 00000000 00000000 00000000 00000000* +L0043056 000000 000000 000000 000000* +L0043080 000000 000000 000000 000000* +L0043104 000000 000000 000000 000000* +L0043128 000000 000000 000000 000000* +L0043152 000000 000000 000000 000000* +L0043176 000000 000000 000000 000000* +L0043200 00000000 00000000 00000000 00000000* +L0043232 00000000 00000000 00000000 00000000* +L0043264 00000000 00000000 00000000 00000000* +L0043296 00000000 00000000 00000000 00000000* +L0043328 00000000 00000000 00000000 00000000* +L0043360 00000000 00000000 00000000 00000000* +L0043392 00000000 00000000 00000000 00000000* +L0043424 00000000 00000000 00000000 00000000* +L0043456 00000000 00000000 00000000 00000000* +L0043488 000000 000000 000000 000000* +L0043512 000000 000000 000000 000000* +L0043536 000000 000000 000000 000000* +L0043560 000000 000000 000000 000000* +L0043584 000000 000000 000000 000000* +L0043608 000000 000000 000000 000000* +L0043632 00000000 00000000 00000000 00000000* +L0043664 00000000 00000000 00000000 00000000* +L0043696 00000000 00000000 00000000 00000000* +L0043728 00000000 00000000 00000000 00000000* +L0043760 00000000 00000000 00000000 00000000* +L0043792 00000000 00000000 00000000 00000000* +L0043824 00000000 00000000 00000000 00000000* +L0043856 00000000 00000000 00000000 00000000* +L0043888 00000000 00000000 00000000 00000000* +L0043920 000000 000000 000000 000000* +L0043944 000000 000000 000000 000000* +L0043968 000000 000000 000000 000000* +L0043992 000000 000000 000000 000000* +L0044016 000000 000000 000000 000000* +L0044040 000000 000000 000000 000000* +L0044064 00100000 00000000 00000000 00000000* +L0044096 00001100 10000000 01110000 00001100* +L0044128 00000000 00000000 00110000 00001000* +L0044160 00010000 00011100 01110000 00000000* +L0044192 00000000 00000000 10001000 10001000* +L0044224 00000000 00000000 00000000 00000000* +L0044256 00000100 00000000 11101000 00001000* +L0044288 00000100 00000000 11101000 00001000* +L0044320 00000100 00111100 10100000 10000000* +L0044352 000001 000000 100000 000000* +L0044376 000000 000000 000000 000000* +L0044400 000100 000000 111100 000000* +L0044424 000000 000000 111100 000100* +L0044448 000000 001110 011000 000000* +L0044472 001000 000000 001011 000000* +L0044496 00000000 00000000 00000000 00000000* +L0044528 00000000 00011100 00001000 00000000* +L0044560 00000000 00011100 00000000 00000000* +L0044592 00000000 00000000 00001000 00000000* +L0044624 00000000 00000000 00000000 00000000* +L0044656 00000000 00000000 00000000 00000000* +L0044688 00000000 00111100 00010000 00000000* +L0044720 00000000 00111100 00010000 00000000* +L0044752 00000000 00000000 00000000 00000000* +L0044784 000000 000000 000000 000000* +L0044808 000000 000000 000000 000000* +L0044832 000000 001110 000000 000010* +L0044856 000000 001110 000000 000000* +L0044880 000000 000000 000000 000000* +L0044904 000000 000000 000000 000010* +L0044928 00000000 00000000 00000000 00000000* +L0044960 00000000 00000000 00000000 00000000* +L0044992 00000000 00000000 00000000 00000000* +L0045024 00000000 00000000 00000000 00000000* +L0045056 00000000 00000000 00000000 00000000* +L0045088 00000000 00000000 00000000 00000000* +L0045120 00000000 00000000 00000000 00000000* +L0045152 00000000 00000000 00000000 00000000* +L0045184 00000000 00000000 00000000 00000000* +L0045216 000000 000000 000000 000000* +L0045240 000000 000000 000000 000000* +L0045264 000000 000000 000000 000000* +L0045288 000000 000000 000000 000000* +L0045312 000000 000000 000000 000000* +L0045336 000000 000000 000000 000000* +L0045360 00000000 00000000 00000000 00000000* +L0045392 00000000 00000000 00000000 00000000* +L0045424 00000000 00000000 10001000 00000000* +L0045456 00000000 00000000 10000000 00000000* +L0045488 00000000 00000000 00000000 00000000* +L0045520 00000000 00000000 00000000 00000000* +L0045552 00010000 00000000 00000000 00000000* +L0045584 00000000 00000000 00000000 00000000* +L0045616 00000000 00000000 00000000 00000000* +L0045648 000000 000000 000000 000000* +L0045672 000000 000000 000000 000000* +L0045696 000000 000000 000000 000000* +L0045720 000000 000000 000000 000000* +L0045744 000000 000000 000000 000000* +L0045768 000000 000000 000000 000000* +L0045792 00000000 00000000 00000000 00000000* +L0045824 00000000 00000000 00000000 00000000* +L0045856 00000000 00000000 00000000 00000000* +L0045888 00000000 00000000 00000000 00000000* +L0045920 00000000 00000000 00000000 00000000* +L0045952 00000000 00000000 00000000 00000000* +L0045984 00000000 00000000 00000000 00000000* +L0046016 00000000 00000000 00000000 00000000* +L0046048 00000000 00000000 00000000 00000000* +L0046080 000000 000000 000000 000000* +L0046104 000000 000000 000000 000000* +L0046128 000000 000000 000000 000000* +L0046152 000000 000000 000000 000000* +L0046176 000000 000000 000000 000000* +L0046200 000000 000000 000000 000000* +L0046224 00000000 10000000 00000000 00000000* +L0046256 00000000 00000000 00000000 10000000* +L0046288 00000000 00000000 00000000 10000000* +L0046320 00000000 00000000 00000000 10000000* +L0046352 00000000 00000000 00000000 00000000* +L0046384 00000000 00000000 00000000 00000000* +L0046416 00000000 00000000 00000000 00000000* +L0046448 00000000 00000000 00000000 00000000* +L0046480 00000000 00000000 00000000 00000000* +L0046512 000000 000000 000000 000000* +L0046536 000000 000000 000000 000000* +L0046560 000000 000000 000000 000000* +L0046584 000010 000000 000000 000000* +L0046608 000010 000000 000000 000000* +L0046632 000000 000001 000000 000001* +CA81E* +CAB0 diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.lso b/60hz_Divider/code/xilinx/cpld_countertest10/counta.lso new file mode 100644 index 0000000..b8f99f5 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.lso @@ -0,0 +1 @@ +work diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.mfd b/60hz_Divider/code/xilinx/cpld_countertest10/counta.mfd new file mode 100644 index 0000000..ec6030c --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.mfd @@ -0,0 +1,913 @@ +MDF Database: version 1.0 +MDF_INFO | counta | XC9572XL-5-VQ44 +MACROCELL | 2 | 1 | waitnow<0> +ATTRIBUTES | 4588322 | 0 +OUTPUTMC | 27 | 2 | 1 | 0 | 16 | 0 | 9 | 0 | 12 | 0 | 11 | 2 | 3 | 0 | 6 | 3 | 15 | 0 | 15 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 11 | 2 | 12 | 2 | 11 | 2 | 5 | 1 | 0 | 2 | 17 | 3 | 1 | 3 | 13 | 3 | 12 | 0 | 8 | 2 | 0 | 2 | 14 | 3 | 0 +INPUTS | 12 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | HZIN | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | XSTALIN +INPUTMC | 10 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 2 | 0 +EQ | 11 | + LED<7>.T = LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + # !LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & uartctr<0> & uartctr<1> & + uartctr<2> & uartctr<3> & uartctr<4> + # !LED<7> & LED<6> & !alreadystoredcnt<0> & + !resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> & + uartctr<3> & uartctr<4> & !HZIN; + LED<7>.CLK = XSTALIN; + waitnow<0>.EXP = LED<7> & !LED<6> & !resetclk<0> & uartskip<0> & + !HZIN + +MACROCELL | 0 | 16 | uartnow<0> +ATTRIBUTES | 4588322 | 0 +OUTPUTMC | 41 | 2 | 1 | 0 | 16 | 0 | 10 | 0 | 13 | 0 | 14 | 0 | 5 | 0 | 7 | 0 | 8 | 1 | 0 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 12 | 2 | 13 | 2 | 12 | 2 | 5 | 1 | 17 | 3 | 0 | 3 | 17 | 3 | 14 | 3 | 13 | 2 | 17 | 2 | 16 | 2 | 15 | 3 | 16 | 2 | 4 | 0 | 4 | 0 | 6 | 0 | 9 | 0 | 11 | 0 | 12 | 0 | 15 | 2 | 0 | 2 | 3 | 2 | 11 | 2 | 14 | 3 | 1 | 3 | 11 | 3 | 15 +INPUTS | 12 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | XSTALIN +INPUTMC | 10 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 +INPUTP | 2 | 65 | 63 +EQ | 12 | + LED<6>.T = !LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & !uartskip<0> + # LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> & + uartctr<3> & uartctr<4> + # LED<6> & alreadystoredcnt<0> & !resetclk<0> & + uartskip<0> & uartctr<0> & uartctr<1> & uartctr<2> & + uartctr<3> & uartctr<4> + # LED<6> & !alreadystoredcnt<0> & !resetclk<0> & + uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> & + uartctr<4> & !HZIN; + LED<6>.CLK = XSTALIN; + +MACROCELL | 0 | 10 | storecounta<10> +ATTRIBUTES | 8782626 | 0 +OUTPUTMC | 3 | 0 | 10 | 0 | 8 | 0 | 11 +INPUTS | 8 | LED<6> | LED<4> | alreadystoredcnt<0> | HZIN | clkcounta<7> | LED<3> | EXP9_.EXP | XSTALIN +INPUTMC | 6 | 0 | 16 | 0 | 13 | 2 | 0 | 1 | 9 | 0 | 10 | 0 | 11 +INPUTP | 2 | 65 | 63 +IMPORTS | 1 | 0 | 11 +EQ | 9 | + LED<3>.D = LED<6> & LED<4> & alreadystoredcnt<0> + # LED<6> & LED<4> & !HZIN + # !LED<6> & LED<3> & alreadystoredcnt<0> + # !alreadystoredcnt<0> & HZIN & clkcounta<7> +;Imported pterms FB1_12 + # !LED<6> & LED<3> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + LED<3>.CLK = XSTALIN; + +MACROCELL | 0 | 13 | storecounta<11> +ATTRIBUTES | 8782626 | 0 +OUTPUTMC | 3 | 0 | 10 | 0 | 13 | 0 | 12 +INPUTS | 8 | LED<6> | LED<5> | alreadystoredcnt<0> | HZIN | clkcounta<8> | LED<4> | EXP10_.EXP | XSTALIN +INPUTMC | 6 | 0 | 16 | 0 | 14 | 2 | 0 | 1 | 8 | 0 | 13 | 0 | 12 +INPUTP | 2 | 65 | 63 +IMPORTS | 1 | 0 | 12 +EQ | 9 | + LED<4>.D = LED<6> & LED<5> & alreadystoredcnt<0> + # LED<6> & LED<5> & !HZIN + # !LED<6> & LED<4> & alreadystoredcnt<0> + # !alreadystoredcnt<0> & HZIN & clkcounta<8> +;Imported pterms FB1_13 + # !LED<6> & LED<4> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + LED<4>.CLK = XSTALIN; + +MACROCELL | 0 | 14 | storecounta<12> +ATTRIBUTES | 8782626 | 0 +OUTPUTMC | 3 | 0 | 13 | 0 | 14 | 0 | 15 +INPUTS | 8 | LED<6> | alreadystoredcnt<0> | storecounta<13> | HZIN | clkcounta<9> | LED<5> | EXP11_.EXP | XSTALIN +INPUTMC | 6 | 0 | 16 | 2 | 0 | 1 | 17 | 1 | 7 | 0 | 14 | 0 | 15 +INPUTP | 2 | 65 | 63 +IMPORTS | 1 | 0 | 15 +EQ | 9 | + LED<5>.D = LED<6> & alreadystoredcnt<0> & storecounta<13> + # LED<6> & storecounta<13> & !HZIN + # !LED<6> & LED<5> & alreadystoredcnt<0> + # !alreadystoredcnt<0> & HZIN & clkcounta<9> +;Imported pterms FB1_16 + # !LED<6> & LED<5> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + LED<5>.CLK = XSTALIN; + +MACROCELL | 0 | 5 | storecounta<7> +ATTRIBUTES | 8782626 | 0 +OUTPUTMC | 3 | 0 | 5 | 3 | 16 | 0 | 4 +INPUTS | 9 | LED<6> | LED<1> | alreadystoredcnt<0> | HZIN | clkcounta<4> | LED<0> | EXP6_.EXP | EXP7_.EXP | XSTALIN +INPUTMC | 7 | 0 | 16 | 0 | 7 | 2 | 0 | 1 | 12 | 0 | 5 | 0 | 4 | 0 | 6 +INPUTP | 2 | 65 | 63 +IMPORTS | 2 | 0 | 4 | 0 | 6 +EQ | 10 | + LED<0>.D = LED<6> & LED<1> & alreadystoredcnt<0> + # LED<6> & LED<1> & !HZIN + # !LED<6> & LED<0> & alreadystoredcnt<0> + # !alreadystoredcnt<0> & HZIN & clkcounta<4> +;Imported pterms FB1_5 + # !LED<6> & LED<0> & !HZIN +;Imported pterms FB1_7 + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + LED<0>.CLK = XSTALIN; + +MACROCELL | 0 | 7 | storecounta<8> +ATTRIBUTES | 8782626 | 0 +OUTPUTMC | 3 | 0 | 5 | 0 | 7 | 0 | 8 +INPUTS | 8 | LED<6> | LED<2> | alreadystoredcnt<0> | HZIN | clkcounta<5> | LED<1> | storecounta<9>.EXP | XSTALIN +INPUTMC | 6 | 0 | 16 | 0 | 8 | 2 | 0 | 1 | 11 | 0 | 7 | 0 | 8 +INPUTP | 2 | 65 | 63 +IMPORTS | 1 | 0 | 8 +EQ | 9 | + LED<1>.D = LED<6> & LED<2> & alreadystoredcnt<0> + # LED<6> & LED<2> & !HZIN + # !LED<6> & LED<1> & alreadystoredcnt<0> + # !alreadystoredcnt<0> & HZIN & clkcounta<5> +;Imported pterms FB1_9 + # !LED<6> & LED<1> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + LED<1>.CLK = XSTALIN; + +MACROCELL | 0 | 8 | storecounta<9> +ATTRIBUTES | 8782626 | 0 +OUTPUTMC | 2 | 0 | 7 | 0 | 9 +INPUTS | 10 | LED<6> | LED<3> | alreadystoredcnt<0> | HZIN | LED<1> | LED<7> | resetclk<0> | uartskip<0> | EXP8_.EXP | XSTALIN +INPUTMC | 8 | 0 | 16 | 0 | 10 | 2 | 0 | 0 | 7 | 2 | 1 | 2 | 14 | 2 | 2 | 0 | 9 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 0 | 7 +IMPORTS | 1 | 0 | 9 +EQ | 12 | + LED<2>.D = LED<6> & LED<3> & alreadystoredcnt<0> + # LED<6> & LED<3> & !HZIN +;Imported pterms FB1_10 + # !LED<6> & LED<2> & alreadystoredcnt<0> + # !LED<6> & LED<2> & !HZIN + # !alreadystoredcnt<0> & HZIN & clkcounta<6> + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + LED<2>.CLK = XSTALIN; + storecounta<9>.EXP = !LED<6> & LED<1> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 2 | 0 | alreadystoredcnt<0> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 51 | 2 | 1 | 0 | 16 | 0 | 10 | 0 | 13 | 0 | 14 | 0 | 5 | 0 | 7 | 0 | 8 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 11 | 2 | 13 | 2 | 12 | 2 | 5 | 1 | 17 | 3 | 0 | 3 | 17 | 3 | 14 | 3 | 13 | 2 | 17 | 2 | 16 | 2 | 15 | 3 | 16 | 2 | 4 | 2 | 3 | 1 | 16 | 1 | 15 | 1 | 0 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 | 0 | 6 | 0 | 9 | 0 | 11 | 0 | 12 | 0 | 15 | 2 | 11 | 3 | 1 | 3 | 12 | 3 | 15 +INPUTS | 9 | alreadystoredcnt<0> | HZIN | LED<6> | storecounta<3> | LED<7> | resetclk<0> | XSTALIN | uartskip<0> | waitnow<0>.EXP +INPUTMC | 7 | 2 | 0 | 0 | 16 | 2 | 17 | 2 | 1 | 2 | 14 | 2 | 2 | 2 | 1 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 2 | 17 +IMPORTS | 1 | 2 | 1 +EQ | 9 | + !alreadystoredcnt<0>.D = !alreadystoredcnt<0> & !HZIN +;Imported pterms FB3_2 + # LED<7> & !LED<6> & !resetclk<0> & uartskip<0> & + !HZIN; + alreadystoredcnt<0>.CLK = XSTALIN; + alreadystoredcnt<0>.EXP = !LED<6> & alreadystoredcnt<0> & storecounta<3> + # !LED<6> & storecounta<3> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 2 | 14 | resetclk<0> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 42 | 2 | 1 | 0 | 16 | 0 | 9 | 0 | 12 | 0 | 11 | 1 | 14 | 0 | 6 | 1 | 7 | 0 | 15 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 12 | 2 | 13 | 2 | 12 | 2 | 5 | 1 | 0 | 2 | 17 | 3 | 11 | 3 | 14 | 3 | 13 | 0 | 8 | 2 | 0 | 2 | 14 | 3 | 15 | 2 | 4 | 2 | 3 | 1 | 16 | 1 | 15 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 3 | 0 | 3 | 1 | 2 | 15 +INPUTS | 8 | alreadystoredcnt<0> | HZIN | XSTALIN | LED<6> | storecounta<5> | LED<7> | resetclk<0> | uartskip<0> +INPUTMC | 6 | 2 | 0 | 0 | 16 | 2 | 15 | 2 | 1 | 2 | 14 | 2 | 2 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 2 | 15 +EQ | 6 | + resetclk<0>.D = !alreadystoredcnt<0> & HZIN; + resetclk<0>.CLK = XSTALIN; + resetclk<0>.EXP = !LED<6> & alreadystoredcnt<0> & storecounta<5> + # !LED<6> & storecounta<5> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 2 | 2 | uartskip<0> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 27 | 2 | 1 | 0 | 16 | 0 | 9 | 0 | 12 | 0 | 11 | 2 | 3 | 0 | 6 | 3 | 15 | 0 | 15 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 11 | 2 | 12 | 2 | 11 | 2 | 5 | 1 | 0 | 2 | 17 | 3 | 1 | 3 | 13 | 3 | 12 | 0 | 8 | 2 | 0 | 2 | 14 | 3 | 0 +INPUTS | 7 | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | LED<6> | HZIN | XSTALIN +INPUTMC | 5 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2 | 0 | 16 +INPUTP | 2 | 65 | 63 +EQ | 5 | + uartskip<0>.T = !LED<7> & alreadystoredcnt<0> & !resetclk<0> & + !uartskip<0> + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + uartskip<0>.CLK = XSTALIN; + +MACROCELL | 2 | 9 | uartctr<0> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 8 | 2 | 1 | 0 | 16 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 10 +INPUTS | 15 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | XSTALIN | clkcounta<0> | clkcounta<1> | uartctr<1>.EXP +INPUTMC | 13 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 3 | 2 | 11 | 2 | 8 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 2 | 10 +IMPORTS | 1 | 2 | 8 +EQ | 13 | + uartctr<0>.T = !LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> + # LED<6> & !alreadystoredcnt<0> & !resetclk<0> & + uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> & + uartctr<4> & !HZIN +;Imported pterms FB3_9 + # LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> & + uartctr<3> & uartctr<4>; + uartctr<0>.CLK = XSTALIN; + uartctr<0>.EXP = !resetclk<0> & clkcounta<0> & clkcounta<1> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<1> + +MACROCELL | 2 | 8 | uartctr<1> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 7 | 2 | 1 | 0 | 16 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 +INPUTS | 12 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | XSTALIN +INPUTMC | 10 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 2 | 9 +EQ | 12 | + uartctr<1>.T = !LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & uartctr<0> + # LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> & + uartctr<3> & uartctr<4> + # LED<6> & !alreadystoredcnt<0> & !resetclk<0> & + uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> & + uartctr<4> & !HZIN; + uartctr<1>.CLK = XSTALIN; + uartctr<1>.EXP = LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> & + uartctr<3> & uartctr<4> + +MACROCELL | 2 | 7 | uartctr<2> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 7 | 2 | 1 | 0 | 16 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 +INPUTS | 12 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | XSTALIN +INPUTMC | 10 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 +INPUTP | 2 | 65 | 63 +EQ | 9 | + uartctr<2>.T = !LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & uartctr<0> & uartctr<1> + # LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> & + uartctr<3> & uartctr<4> + # LED<6> & !alreadystoredcnt<0> & !resetclk<0> & + uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> & + uartctr<4> & !HZIN; + uartctr<2>.CLK = XSTALIN; + +MACROCELL | 2 | 6 | uartctr<3> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 7 | 2 | 1 | 0 | 16 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 +INPUTS | 12 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | XSTALIN +INPUTMC | 10 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 +INPUTP | 2 | 65 | 63 +EQ | 10 | + uartctr<3>.T = !LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & uartctr<0> & uartctr<1> & + uartctr<2> + # LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> & + uartctr<3> & uartctr<4> + # LED<6> & !alreadystoredcnt<0> & !resetclk<0> & + uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> & + uartctr<4> & !HZIN; + uartctr<3>.CLK = XSTALIN; + +MACROCELL | 3 | 12 | storecounta<18> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 3 | 3 | 12 | 3 | 13 | 3 | 11 +INPUTS | 10 | LED<6> | resetclk<0> | storecounta<18> | alreadystoredcnt<0> | storecounta<17> | HZIN | LED<7> | XSTALIN | uartskip<0> | EXP14_.EXP +INPUTMC | 8 | 0 | 16 | 2 | 14 | 3 | 12 | 2 | 0 | 3 | 13 | 2 | 1 | 2 | 2 | 3 | 11 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 3 | 13 +IMPORTS | 1 | 3 | 11 +EQ | 12 | + storecounta<18>.D = LED<6> & !resetclk<0> + # !resetclk<0> & storecounta<18> +;Imported pterms FB4_12 + # LED<6> & !alreadystoredcnt<0> & HZIN + # !alreadystoredcnt<0> & storecounta<18> & HZIN + # LED<7> & alreadystoredcnt<0> & !resetclk<0> & + uartskip<0> & !HZIN; + storecounta<18>.CLK = XSTALIN; + storecounta<18>.EXP = !LED<6> & !alreadystoredcnt<0> & storecounta<17> & + HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 2 | 13 | storecounta<1> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 2 | 2 | 13 | 2 | 4 +INPUTS | 8 | LED<6> | resetclk<0> | storecounta<2> | storecounta<1> | alreadystoredcnt<0> | HZIN | storecounta<2>.EXP | XSTALIN +INPUTMC | 6 | 0 | 16 | 2 | 14 | 2 | 12 | 2 | 13 | 2 | 0 | 2 | 12 +INPUTP | 2 | 65 | 63 +IMPORTS | 1 | 2 | 12 +EQ | 10 | + storecounta<1>.D = LED<6> & !resetclk<0> & storecounta<2> + # !LED<6> & !resetclk<0> & storecounta<1> + # LED<6> & !alreadystoredcnt<0> & storecounta<2> & + HZIN + # !LED<6> & !alreadystoredcnt<0> & storecounta<1> & + HZIN +;Imported pterms FB3_13 + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + storecounta<1>.CLK = XSTALIN; + +MACROCELL | 2 | 12 | storecounta<2> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 2 | 2 | 13 | 2 | 11 +INPUTS | 9 | alreadystoredcnt<0> | resetclk<0> | HZIN | LED<6> | storecounta<3> | LED<7> | uartskip<0> | clkcounta<1>.EXP | XSTALIN +INPUTMC | 7 | 2 | 0 | 2 | 14 | 0 | 16 | 2 | 17 | 2 | 1 | 2 | 2 | 2 | 11 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 2 | 13 +IMPORTS | 1 | 2 | 11 +EQ | 10 | + storecounta<2>.D = LED<6> & storecounta<3> + # alreadystoredcnt<0> & resetclk<0> + # resetclk<0> & !HZIN +;Imported pterms FB3_12 + # !LED<6> & storecounta<2> + # LED<7> & !LED<6> & alreadystoredcnt<0> & + uartskip<0> & !HZIN; + storecounta<2>.CLK = XSTALIN; + storecounta<2>.EXP = LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 2 | 5 | uartctr<4> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 7 | 2 | 1 | 0 | 16 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 +INPUTS | 12 | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | LED<7> | uartskip<0> | XSTALIN +INPUTMC | 10 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 1 | 2 | 2 +INPUTP | 2 | 65 | 63 +EQ | 10 | + uartctr<4>.T = LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> & + uartctr<3> & uartctr<4> + # !LED<7> & LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & uartctr<0> & uartctr<1> & + uartctr<2> & uartctr<3> + # LED<6> & !alreadystoredcnt<0> & !resetclk<0> & + uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> & + uartctr<4> & !HZIN; + uartctr<4>.CLK = XSTALIN; + +MACROCELL | 1 | 17 | storecounta<13> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 3 | 0 | 14 | 1 | 17 | 1 | 0 +INPUTS | 8 | LED<6> | alreadystoredcnt<0> | storecounta<14> | HZIN | clkcounta<10> | storecounta<13> | EXP12_.EXP | XSTALIN +INPUTMC | 6 | 0 | 16 | 2 | 0 | 3 | 0 | 1 | 16 | 1 | 17 | 1 | 0 +INPUTP | 2 | 65 | 63 +IMPORTS | 1 | 1 | 0 +EQ | 9 | + storecounta<13>.D = LED<6> & alreadystoredcnt<0> & storecounta<14> + # LED<6> & storecounta<14> & !HZIN + # !LED<6> & alreadystoredcnt<0> & storecounta<13> + # !alreadystoredcnt<0> & HZIN & clkcounta<10> +;Imported pterms FB2_1 + # !LED<6> & storecounta<13> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + storecounta<13>.CLK = XSTALIN; + +MACROCELL | 3 | 0 | storecounta<14> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 3 | 1 | 17 | 3 | 1 | 3 | 17 +INPUTS | 9 | LED<6> | alreadystoredcnt<0> | storecounta<15> | HZIN | LED<7> | resetclk<0> | uartskip<0> | EXP13_.EXP | XSTALIN +INPUTMC | 7 | 0 | 16 | 2 | 0 | 3 | 17 | 2 | 1 | 2 | 14 | 2 | 2 | 3 | 1 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 3 | 17 +IMPORTS | 1 | 3 | 1 +EQ | 12 | + storecounta<14>.D = LED<6> & alreadystoredcnt<0> & storecounta<15> + # LED<6> & storecounta<15> & !HZIN +;Imported pterms FB4_2 + # !LED<6> & alreadystoredcnt<0> & storecounta<14> + # !LED<6> & storecounta<14> & !HZIN + # !alreadystoredcnt<0> & HZIN & clkcounta<11> + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + storecounta<14>.CLK = XSTALIN; + storecounta<14>.EXP = !LED<6> & storecounta<15> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 3 | 17 | storecounta<15> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 2 | 3 | 0 | 3 | 17 +INPUTS | 8 | LED<6> | alreadystoredcnt<0> | storecounta<16> | HZIN | clkcounta<12> | storecounta<15> | storecounta<14>.EXP | XSTALIN +INPUTMC | 6 | 0 | 16 | 2 | 0 | 3 | 14 | 1 | 14 | 3 | 17 | 3 | 0 +INPUTP | 2 | 65 | 63 +IMPORTS | 1 | 3 | 0 +EQ | 9 | + storecounta<15>.D = LED<6> & alreadystoredcnt<0> & storecounta<16> + # LED<6> & storecounta<16> & !HZIN + # !LED<6> & alreadystoredcnt<0> & storecounta<15> + # !alreadystoredcnt<0> & HZIN & clkcounta<12> +;Imported pterms FB4_1 + # !LED<6> & storecounta<15> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + storecounta<15>.CLK = XSTALIN; + +MACROCELL | 3 | 14 | storecounta<16> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 2 | 3 | 17 | 3 | 14 +INPUTS | 8 | alreadystoredcnt<0> | resetclk<0> | HZIN | LED<6> | storecounta<17> | storecounta<16> | storecounta<17>.EXP | XSTALIN +INPUTMC | 6 | 2 | 0 | 2 | 14 | 0 | 16 | 3 | 13 | 3 | 14 | 3 | 13 +INPUTP | 2 | 65 | 63 +IMPORTS | 1 | 3 | 13 +EQ | 8 | + storecounta<16>.D = LED<6> & storecounta<17> + # !LED<6> & storecounta<16> + # alreadystoredcnt<0> & resetclk<0> + # resetclk<0> & !HZIN +;Imported pterms FB4_14 + # LED<7> & !LED<6> & alreadystoredcnt<0> & + uartskip<0> & !HZIN; + storecounta<16>.CLK = XSTALIN; + +MACROCELL | 3 | 13 | storecounta<17> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 3 | 3 | 14 | 3 | 13 | 3 | 12 +INPUTS | 10 | LED<6> | resetclk<0> | storecounta<18> | storecounta<17> | alreadystoredcnt<0> | HZIN | LED<7> | uartskip<0> | XSTALIN | storecounta<18>.EXP +INPUTMC | 8 | 0 | 16 | 2 | 14 | 3 | 12 | 3 | 13 | 2 | 0 | 2 | 1 | 2 | 2 | 3 | 12 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 3 | 14 +IMPORTS | 1 | 3 | 12 +EQ | 12 | + storecounta<17>.D = LED<6> & !resetclk<0> & storecounta<18> + # !LED<6> & !resetclk<0> & storecounta<17> + # LED<6> & !alreadystoredcnt<0> & storecounta<18> & + HZIN +;Imported pterms FB4_13 + # !LED<6> & !alreadystoredcnt<0> & storecounta<17> & + HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + storecounta<17>.CLK = XSTALIN; + storecounta<17>.EXP = LED<7> & !LED<6> & alreadystoredcnt<0> & + uartskip<0> & !HZIN + +MACROCELL | 2 | 17 | storecounta<3> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 3 | 2 | 12 | 2 | 0 | 2 | 16 +INPUTS | 10 | LED<6> | alreadystoredcnt<0> | storecounta<4> | HZIN | clkcounta<0> | LED<7> | resetclk<0> | uartskip<0> | alreadystoredcnt<0>.EXP | XSTALIN +INPUTMC | 8 | 0 | 16 | 2 | 0 | 2 | 16 | 2 | 3 | 2 | 1 | 2 | 14 | 2 | 2 | 2 | 0 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 2 | 16 +IMPORTS | 1 | 2 | 0 +EQ | 11 | + storecounta<3>.D = LED<6> & alreadystoredcnt<0> & storecounta<4> + # LED<6> & storecounta<4> & !HZIN + # !alreadystoredcnt<0> & HZIN & clkcounta<0> +;Imported pterms FB3_1 + # !LED<6> & alreadystoredcnt<0> & storecounta<3> + # !LED<6> & storecounta<3> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + storecounta<3>.CLK = XSTALIN; + storecounta<3>.EXP = LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 2 | 16 | storecounta<4> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 3 | 2 | 17 | 2 | 16 | 2 | 15 +INPUTS | 9 | LED<6> | alreadystoredcnt<0> | storecounta<5> | HZIN | clkcounta<1> | storecounta<4> | storecounta<5>.EXP | storecounta<3>.EXP | XSTALIN +INPUTMC | 7 | 0 | 16 | 2 | 0 | 2 | 15 | 2 | 11 | 2 | 16 | 2 | 15 | 2 | 17 +INPUTP | 2 | 65 | 63 +IMPORTS | 2 | 2 | 15 | 2 | 17 +EQ | 10 | + storecounta<4>.D = LED<6> & alreadystoredcnt<0> & storecounta<5> + # LED<6> & storecounta<5> & !HZIN + # !LED<6> & alreadystoredcnt<0> & storecounta<4> + # !alreadystoredcnt<0> & HZIN & clkcounta<1> +;Imported pterms FB3_16 + # !LED<6> & storecounta<4> & !HZIN +;Imported pterms FB3_18 + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + storecounta<4>.CLK = XSTALIN; + +MACROCELL | 2 | 15 | storecounta<5> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 2 | 2 | 16 | 2 | 14 +INPUTS | 8 | LED<6> | alreadystoredcnt<0> | storecounta<6> | HZIN | clkcounta<2> | storecounta<4> | resetclk<0>.EXP | XSTALIN +INPUTMC | 6 | 0 | 16 | 2 | 0 | 3 | 16 | 2 | 10 | 2 | 16 | 2 | 14 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 2 | 16 +IMPORTS | 1 | 2 | 14 +EQ | 10 | + storecounta<5>.D = LED<6> & alreadystoredcnt<0> & storecounta<6> + # LED<6> & storecounta<6> & !HZIN + # !alreadystoredcnt<0> & HZIN & clkcounta<2> +;Imported pterms FB3_15 + # !LED<6> & alreadystoredcnt<0> & storecounta<5> + # !LED<6> & storecounta<5> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + storecounta<5>.CLK = XSTALIN; + storecounta<5>.EXP = !LED<6> & storecounta<4> & !HZIN + +MACROCELL | 3 | 16 | storecounta<6> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 3 | 2 | 15 | 3 | 16 | 3 | 15 +INPUTS | 8 | LED<6> | LED<0> | alreadystoredcnt<0> | HZIN | clkcounta<3> | storecounta<6> | EXP15_.EXP | XSTALIN +INPUTMC | 6 | 0 | 16 | 0 | 5 | 2 | 0 | 1 | 13 | 3 | 16 | 3 | 15 +INPUTP | 2 | 65 | 63 +IMPORTS | 1 | 3 | 15 +EQ | 9 | + storecounta<6>.D = LED<6> & LED<0> & alreadystoredcnt<0> + # LED<6> & LED<0> & !HZIN + # !LED<6> & alreadystoredcnt<0> & storecounta<6> + # !alreadystoredcnt<0> & HZIN & clkcounta<3> +;Imported pterms FB4_16 + # !LED<6> & storecounta<6> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + storecounta<6>.CLK = XSTALIN; + +MACROCELL | 2 | 4 | storecounta<0> +ATTRIBUTES | 8782626 | 0 +OUTPUTMC | 1 | 2 | 4 +INPUTS | 8 | LED<6> | resetclk<0> | storecounta<1> | TX | alreadystoredcnt<0> | HZIN | clkcounta<0>.EXP | XSTALIN +INPUTMC | 6 | 0 | 16 | 2 | 14 | 2 | 13 | 2 | 4 | 2 | 0 | 2 | 3 +INPUTP | 2 | 65 | 63 +IMPORTS | 1 | 2 | 3 +EQ | 9 | + TX.D = LED<6> & !resetclk<0> & storecounta<1> + # !LED<6> & !resetclk<0> & TX + # LED<6> & !alreadystoredcnt<0> & storecounta<1> & + HZIN + # !LED<6> & !alreadystoredcnt<0> & HZIN & TX +;Imported pterms FB3_4 + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN; + TX.CLK = XSTALIN; + +MACROCELL | 2 | 3 | clkcounta<0> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 16 | 2 | 17 | 2 | 3 | 1 | 16 | 1 | 15 | 2 | 11 | 2 | 9 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 | 2 | 10 | 2 | 4 +INPUTS | 8 | resetclk<0> | clkcounta<0> | alreadystoredcnt<0> | HZIN | XSTALIN | LED<7> | LED<6> | uartskip<0> +INPUTMC | 6 | 2 | 14 | 2 | 3 | 2 | 0 | 2 | 1 | 0 | 16 | 2 | 2 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 2 | 4 +EQ | 5 | + clkcounta<0>.D = !resetclk<0> & !clkcounta<0> + # !alreadystoredcnt<0> & HZIN & !clkcounta<0>; + clkcounta<0>.CLK = XSTALIN; + clkcounta<0>.EXP = LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 1 | 16 | clkcounta<10> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 4 | 1 | 17 | 1 | 16 | 1 | 15 | 1 | 14 +INPUTS | 15 | alreadystoredcnt<0> | resetclk<0> | clkcounta<10> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | clkcounta<7> | clkcounta<8> | clkcounta<9> | XSTALIN +INPUTMC | 13 | 2 | 0 | 2 | 14 | 1 | 16 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 +INPUTP | 2 | 65 | 63 +EQ | 11 | + clkcounta<10>.T = alreadystoredcnt<0> & resetclk<0> & + clkcounta<10> + # resetclk<0> & !HZIN & clkcounta<10> + # !resetclk<0> & clkcounta<0> & clkcounta<1> & + clkcounta<2> & clkcounta<3> & clkcounta<4> & clkcounta<5> & + clkcounta<6> & clkcounta<7> & clkcounta<8> & clkcounta<9> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> & + clkcounta<5> & clkcounta<6> & clkcounta<7> & clkcounta<8> & + clkcounta<9>; + clkcounta<10>.CLK = XSTALIN; + +MACROCELL | 1 | 15 | clkcounta<11> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 3 | 1 | 14 | 1 | 15 | 3 | 1 +INPUTS | 16 | alreadystoredcnt<0> | resetclk<0> | clkcounta<11> | HZIN | clkcounta<0> | clkcounta<10> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | clkcounta<7> | clkcounta<8> | clkcounta<9> | XSTALIN +INPUTMC | 14 | 2 | 0 | 2 | 14 | 1 | 15 | 2 | 3 | 1 | 16 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 +INPUTP | 2 | 65 | 63 +EQ | 12 | + clkcounta<11>.T = alreadystoredcnt<0> & resetclk<0> & + clkcounta<11> + # resetclk<0> & !HZIN & clkcounta<11> + # !resetclk<0> & clkcounta<0> & clkcounta<10> & + clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> & + clkcounta<5> & clkcounta<6> & clkcounta<7> & clkcounta<8> & + clkcounta<9> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<10> & clkcounta<1> & clkcounta<2> & clkcounta<3> & + clkcounta<4> & clkcounta<5> & clkcounta<6> & clkcounta<7> & + clkcounta<8> & clkcounta<9>; + clkcounta<11>.CLK = XSTALIN; + +MACROCELL | 2 | 11 | clkcounta<1> +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 15 | 2 | 16 | 1 | 16 | 1 | 15 | 2 | 11 | 2 | 9 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 | 2 | 10 | 2 | 12 +INPUTS | 11 | resetclk<0> | clkcounta<0> | clkcounta<1> | LED<6> | storecounta<2> | XSTALIN | LED<7> | alreadystoredcnt<0> | uartskip<0> | HZIN | clkcounta<2>.EXP +INPUTMC | 9 | 2 | 14 | 2 | 3 | 2 | 11 | 0 | 16 | 2 | 12 | 2 | 1 | 2 | 0 | 2 | 2 | 2 | 10 +INPUTP | 2 | 63 | 65 +EXPORTS | 1 | 2 | 12 +IMPORTS | 1 | 2 | 10 +EQ | 11 | + clkcounta<1>.D = !resetclk<0> & clkcounta<0> & !clkcounta<1> + # !resetclk<0> & !clkcounta<0> & clkcounta<1> +;Imported pterms FB3_11 + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + !clkcounta<1> + # !alreadystoredcnt<0> & HZIN & !clkcounta<0> & + clkcounta<1>; + clkcounta<1>.CLK = XSTALIN; + clkcounta<1>.EXP = !LED<6> & storecounta<2> + # LED<7> & !LED<6> & alreadystoredcnt<0> & + uartskip<0> & !HZIN + +MACROCELL | 2 | 10 | clkcounta<2> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 13 | 2 | 15 | 1 | 16 | 1 | 15 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 | 2 | 11 +INPUTS | 8 | alreadystoredcnt<0> | resetclk<0> | clkcounta<2> | HZIN | clkcounta<0> | clkcounta<1> | XSTALIN | uartctr<0>.EXP +INPUTMC | 6 | 2 | 0 | 2 | 14 | 2 | 10 | 2 | 3 | 2 | 11 | 2 | 9 +INPUTP | 2 | 65 | 63 +EXPORTS | 1 | 2 | 11 +IMPORTS | 1 | 2 | 9 +EQ | 11 | + clkcounta<2>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<2> + # resetclk<0> & !HZIN & clkcounta<2> +;Imported pterms FB3_10 + # !resetclk<0> & clkcounta<0> & clkcounta<1> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<1>; + clkcounta<2>.CLK = XSTALIN; + clkcounta<2>.EXP = !alreadystoredcnt<0> & HZIN & clkcounta<0> & + !clkcounta<1> + # !alreadystoredcnt<0> & HZIN & !clkcounta<0> & + clkcounta<1> + +MACROCELL | 1 | 13 | clkcounta<3> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 11 | 3 | 16 | 1 | 16 | 1 | 15 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 +INPUTS | 8 | alreadystoredcnt<0> | resetclk<0> | clkcounta<3> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | XSTALIN +INPUTMC | 6 | 2 | 0 | 2 | 14 | 1 | 13 | 2 | 3 | 2 | 11 | 2 | 10 +INPUTP | 2 | 65 | 63 +EQ | 7 | + clkcounta<3>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<3> + # resetclk<0> & !HZIN & clkcounta<3> + # !resetclk<0> & clkcounta<0> & clkcounta<1> & + clkcounta<2> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<1> & clkcounta<2>; + clkcounta<3>.CLK = XSTALIN; + +MACROCELL | 1 | 12 | clkcounta<4> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 10 | 0 | 5 | 1 | 16 | 1 | 15 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 +INPUTS | 9 | alreadystoredcnt<0> | resetclk<0> | clkcounta<4> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | XSTALIN +INPUTMC | 7 | 2 | 0 | 2 | 14 | 1 | 12 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 +INPUTP | 2 | 65 | 63 +EQ | 7 | + clkcounta<4>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<4> + # resetclk<0> & !HZIN & clkcounta<4> + # !resetclk<0> & clkcounta<0> & clkcounta<1> & + clkcounta<2> & clkcounta<3> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<1> & clkcounta<2> & clkcounta<3>; + clkcounta<4>.CLK = XSTALIN; + +MACROCELL | 1 | 11 | clkcounta<5> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 9 | 0 | 7 | 1 | 16 | 1 | 15 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 +INPUTS | 10 | alreadystoredcnt<0> | resetclk<0> | clkcounta<5> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | XSTALIN +INPUTMC | 8 | 2 | 0 | 2 | 14 | 1 | 11 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 +INPUTP | 2 | 65 | 63 +EQ | 7 | + clkcounta<5>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<5> + # resetclk<0> & !HZIN & clkcounta<5> + # !resetclk<0> & clkcounta<0> & clkcounta<1> & + clkcounta<2> & clkcounta<3> & clkcounta<4> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4>; + clkcounta<5>.CLK = XSTALIN; + +MACROCELL | 1 | 10 | clkcounta<6> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 8 | 1 | 14 | 1 | 16 | 1 | 15 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 0 | 9 +INPUTS | 11 | alreadystoredcnt<0> | resetclk<0> | clkcounta<6> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | XSTALIN +INPUTMC | 9 | 2 | 0 | 2 | 14 | 1 | 10 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 +INPUTP | 2 | 65 | 63 +EQ | 8 | + clkcounta<6>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<6> + # resetclk<0> & !HZIN & clkcounta<6> + # !resetclk<0> & clkcounta<0> & clkcounta<1> & + clkcounta<2> & clkcounta<3> & clkcounta<4> & clkcounta<5> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> & + clkcounta<5>; + clkcounta<6>.CLK = XSTALIN; + +MACROCELL | 1 | 9 | clkcounta<7> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 7 | 0 | 10 | 1 | 16 | 1 | 15 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 +INPUTS | 12 | alreadystoredcnt<0> | resetclk<0> | clkcounta<7> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | XSTALIN +INPUTMC | 10 | 2 | 0 | 2 | 14 | 1 | 9 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 +INPUTP | 2 | 65 | 63 +EQ | 9 | + clkcounta<7>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<7> + # resetclk<0> & !HZIN & clkcounta<7> + # !resetclk<0> & clkcounta<0> & clkcounta<1> & + clkcounta<2> & clkcounta<3> & clkcounta<4> & clkcounta<5> & + clkcounta<6> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> & + clkcounta<5> & clkcounta<6>; + clkcounta<7>.CLK = XSTALIN; + +MACROCELL | 1 | 8 | clkcounta<8> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 6 | 0 | 13 | 1 | 16 | 1 | 15 | 1 | 8 | 1 | 7 | 1 | 14 +INPUTS | 13 | alreadystoredcnt<0> | resetclk<0> | clkcounta<8> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | clkcounta<7> | XSTALIN +INPUTMC | 11 | 2 | 0 | 2 | 14 | 1 | 8 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 +INPUTP | 2 | 65 | 63 +EQ | 9 | + clkcounta<8>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<8> + # resetclk<0> & !HZIN & clkcounta<8> + # !resetclk<0> & clkcounta<0> & clkcounta<1> & + clkcounta<2> & clkcounta<3> & clkcounta<4> & clkcounta<5> & + clkcounta<6> & clkcounta<7> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> & + clkcounta<5> & clkcounta<6> & clkcounta<7>; + clkcounta<8>.CLK = XSTALIN; + +MACROCELL | 1 | 7 | clkcounta<9> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 5 | 0 | 14 | 1 | 16 | 1 | 15 | 1 | 7 | 1 | 14 +INPUTS | 14 | alreadystoredcnt<0> | resetclk<0> | clkcounta<9> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | clkcounta<7> | clkcounta<8> | XSTALIN +INPUTMC | 12 | 2 | 0 | 2 | 14 | 1 | 7 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 +INPUTP | 2 | 65 | 63 +EQ | 9 | + clkcounta<9>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<9> + # resetclk<0> & !HZIN & clkcounta<9> + # !resetclk<0> & clkcounta<0> & clkcounta<1> & + clkcounta<2> & clkcounta<3> & clkcounta<4> & clkcounta<5> & + clkcounta<6> & clkcounta<7> & clkcounta<8> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> & + clkcounta<5> & clkcounta<6> & clkcounta<7> & clkcounta<8>; + clkcounta<9>.CLK = XSTALIN; + +MACROCELL | 1 | 14 | clkcounta<12> +ATTRIBUTES | 4326176 | 0 +OUTPUTMC | 2 | 3 | 17 | 1 | 14 +INPUTS | 17 | alreadystoredcnt<0> | resetclk<0> | clkcounta<12> | HZIN | clkcounta<0> | clkcounta<10> | clkcounta<11> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | clkcounta<7> | clkcounta<8> | clkcounta<9> | XSTALIN +INPUTMC | 15 | 2 | 0 | 2 | 14 | 1 | 14 | 2 | 3 | 1 | 16 | 1 | 15 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 +INPUTP | 2 | 65 | 63 +EQ | 12 | + clkcounta<12>.T = alreadystoredcnt<0> & resetclk<0> & + clkcounta<12> + # resetclk<0> & !HZIN & clkcounta<12> + # !resetclk<0> & clkcounta<0> & clkcounta<10> & + clkcounta<11> & clkcounta<1> & clkcounta<2> & clkcounta<3> & + clkcounta<4> & clkcounta<5> & clkcounta<6> & clkcounta<7> & + clkcounta<8> & clkcounta<9> + # !alreadystoredcnt<0> & HZIN & clkcounta<0> & + clkcounta<10> & clkcounta<11> & clkcounta<1> & clkcounta<2> & + clkcounta<3> & clkcounta<4> & clkcounta<5> & clkcounta<6> & + clkcounta<7> & clkcounta<8> & clkcounta<9>; + clkcounta<12>.CLK = XSTALIN; + +MACROCELL | 0 | 4 | EXP6_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 5 +INPUTS | 3 | LED<6> | LED<0> | HZIN +INPUTMC | 2 | 0 | 16 | 0 | 5 +INPUTP | 1 | 65 +EXPORTS | 1 | 0 | 5 +EQ | 1 | + EXP6_.EXP = !LED<6> & LED<0> & !HZIN + +MACROCELL | 0 | 6 | EXP7_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 5 +INPUTS | 6 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | HZIN +INPUTMC | 5 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 +INPUTP | 1 | 65 +EXPORTS | 1 | 0 | 5 +EQ | 2 | + EXP7_.EXP = LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 0 | 9 | EXP8_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 8 +INPUTS | 8 | LED<6> | LED<2> | alreadystoredcnt<0> | HZIN | clkcounta<6> | LED<7> | resetclk<0> | uartskip<0> +INPUTMC | 7 | 0 | 16 | 0 | 8 | 2 | 0 | 1 | 10 | 2 | 1 | 2 | 14 | 2 | 2 +INPUTP | 1 | 65 +EXPORTS | 1 | 0 | 8 +EQ | 5 | + EXP8_.EXP = !LED<6> & LED<2> & alreadystoredcnt<0> + # !LED<6> & LED<2> & !HZIN + # !alreadystoredcnt<0> & HZIN & clkcounta<6> + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 0 | 11 | EXP9_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 10 +INPUTS | 7 | LED<6> | LED<3> | HZIN | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> +INPUTMC | 6 | 0 | 16 | 0 | 10 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2 +INPUTP | 1 | 65 +EXPORTS | 1 | 0 | 10 +EQ | 3 | + EXP9_.EXP = !LED<6> & LED<3> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 0 | 12 | EXP10_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 13 +INPUTS | 7 | LED<6> | LED<4> | HZIN | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> +INPUTMC | 6 | 0 | 16 | 0 | 13 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2 +INPUTP | 1 | 65 +EXPORTS | 1 | 0 | 13 +EQ | 3 | + EXP10_.EXP = !LED<6> & LED<4> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 0 | 15 | EXP11_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 14 +INPUTS | 7 | LED<6> | LED<5> | HZIN | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> +INPUTMC | 6 | 0 | 16 | 0 | 14 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2 +INPUTP | 1 | 65 +EXPORTS | 1 | 0 | 14 +EQ | 3 | + EXP11_.EXP = !LED<6> & LED<5> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 1 | 0 | EXP12_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 1 | 17 +INPUTS | 7 | LED<6> | storecounta<13> | HZIN | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> +INPUTMC | 6 | 0 | 16 | 1 | 17 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2 +INPUTP | 1 | 65 +EXPORTS | 1 | 1 | 17 +EQ | 3 | + EXP12_.EXP = !LED<6> & storecounta<13> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 3 | 1 | EXP13_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 0 +INPUTS | 8 | LED<6> | alreadystoredcnt<0> | storecounta<14> | HZIN | clkcounta<11> | LED<7> | resetclk<0> | uartskip<0> +INPUTMC | 7 | 0 | 16 | 2 | 0 | 3 | 0 | 1 | 15 | 2 | 1 | 2 | 14 | 2 | 2 +INPUTP | 1 | 65 +EXPORTS | 1 | 3 | 0 +EQ | 5 | + EXP13_.EXP = !LED<6> & alreadystoredcnt<0> & storecounta<14> + # !LED<6> & storecounta<14> & !HZIN + # !alreadystoredcnt<0> & HZIN & clkcounta<11> + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +MACROCELL | 3 | 11 | EXP14_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 12 +INPUTS | 7 | LED<6> | alreadystoredcnt<0> | HZIN | storecounta<18> | LED<7> | resetclk<0> | uartskip<0> +INPUTMC | 6 | 0 | 16 | 2 | 0 | 3 | 12 | 2 | 1 | 2 | 14 | 2 | 2 +INPUTP | 1 | 65 +EXPORTS | 1 | 3 | 12 +EQ | 4 | + EXP14_.EXP = LED<6> & !alreadystoredcnt<0> & HZIN + # !alreadystoredcnt<0> & storecounta<18> & HZIN + # LED<7> & alreadystoredcnt<0> & !resetclk<0> & + uartskip<0> & !HZIN + +MACROCELL | 3 | 15 | EXP15_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 16 +INPUTS | 7 | LED<6> | storecounta<6> | HZIN | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> +INPUTMC | 6 | 0 | 16 | 3 | 16 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2 +INPUTP | 1 | 65 +EXPORTS | 1 | 3 | 16 +EQ | 3 | + EXP15_.EXP = !LED<6> & storecounta<6> & !HZIN + # LED<7> & !LED<6> & alreadystoredcnt<0> & + !resetclk<0> & uartskip<0> & !HZIN + +PIN | XSTALIN | 64 | 0 | N/A | 63 | 42 | 2 | 1 | 0 | 16 | 0 | 10 | 0 | 13 | 0 | 14 | 0 | 5 | 0 | 7 | 0 | 8 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 12 | 2 | 13 | 2 | 12 | 2 | 5 | 1 | 17 | 3 | 0 | 3 | 17 | 3 | 14 | 3 | 13 | 2 | 17 | 2 | 16 | 2 | 15 | 3 | 16 | 2 | 4 | 2 | 3 | 1 | 16 | 1 | 15 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 +PIN | HZIN | 64 | 0 | N/A | 65 | 52 | 2 | 1 | 0 | 16 | 0 | 10 | 0 | 13 | 0 | 14 | 0 | 5 | 0 | 7 | 0 | 8 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 11 | 2 | 13 | 2 | 12 | 2 | 5 | 1 | 17 | 3 | 0 | 3 | 17 | 3 | 14 | 3 | 13 | 2 | 17 | 2 | 16 | 2 | 15 | 3 | 16 | 2 | 4 | 2 | 3 | 1 | 16 | 1 | 15 | 1 | 0 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 | 0 | 4 | 0 | 6 | 0 | 9 | 0 | 11 | 0 | 12 | 0 | 15 | 2 | 11 | 3 | 1 | 3 | 12 | 3 | 15 +PIN | LED<7> | 536871040 | 0 | N/A | 29 +PIN | LED<6> | 536871040 | 0 | N/A | 27 +PIN | LED<3> | 536871040 | 0 | N/A | 21 +PIN | LED<4> | 536871040 | 0 | N/A | 24 +PIN | LED<5> | 536871040 | 0 | N/A | 26 +PIN | LED<0> | 536871040 | 0 | N/A | 13 +PIN | LED<1> | 536871040 | 0 | N/A | 15 +PIN | LED<2> | 536871040 | 0 | N/A | 20 +PIN | TX | 536871040 | 0 | N/A | 31 diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.nga b/60hz_Divider/code/xilinx/cpld_countertest10/counta.nga new file mode 100644 index 0000000..ec41270 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.nga @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$3`e0=#Zl|/;6&?:183-c=/83:1<$.'0;294,743!;;<=>511234?7789:"==5'1123>46783;;<='>0:*2056=9=:;6<:?0(33?-72890:9=>51423-46< 8<;<7?90182256.991#=:>?:0534?7089#:<6&=012965672;:;<$<>;)0045<5;9:1>>>?)!*2056=9=:;6<:?0(33?-4>89095=>52823-46< :<;<7=90180256.l2"?<=4;018745/682"><=>55123>0678 ;;7%8?0185456=>9:;%<5?1:32?74<:980>069221CXZ_UU8OAA:029487L@K9:CMJJRF\LN87O[I8:@VWZOINF80OH=4CMI:?FIJE@^_II94CSGBP@B>3Jfdof]eb:8@drf[yoh96Jjl`{b?@^W99U%IU^i;DZS55Y)MQZT\YQ?f:G[T46X&LR[S]ZP10`8A]V68V$NT]Q{shoqakgjmmUeiyQn;DZS54Y)MQZm7HV_10]-A]VXX]U;j6KWP03\*@^WWY^T=2^,F\UYs{`gyicobee]maqYf3LR[=>Q!EYRe?@^W9:U%IU^PPU]3b>C_X89T"HV__QV\5c=BPY;8S#KWP^RW[7`i;DZS50Y)MQZT\YQ>1c9F\U72W'OS\Rz|ilpfjdkblVdnxR74EYR5[+C_X8k0IU^9_/G[TZrtadxnblcjd^lfpZ?W'OS\Rz|ilpfjdkblVdnxR94EeefRdj53OL87J`k4:JYMK><@SCE"]OK9:JYMKYNJ\L97D@<;HL37>OI9=1BB<>;;HL251=NF88?7D@>359JJ4233@D:9>5FN318MK543@D??6GA529JJ357k0@HJ36?,SEA>16:NF@919i2FNH191.QCG7>JHK>1GCJGLAMa8Idlhz_oydaac:OjjjtQm{ybcc94NDVTKWM43FDN>6A]3:MVP6=WIM>0\L\[7:RJJKGJMh1[ECQMURKG\g=WAGUIY^@NMD48T`tngm20\b|{P`vk7>TT\:1XIY74SdvvtMikl;1_T;5[X/RB@1=SQYOh7X]JR^TJWLDKM01]EHYPTXRF7>Pdk<1\eizg289[G<30:QF&9 X^45"+Wucbl)Lb`h4/Vdppmjh';':"86VKMM3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT03QY_MAGAb:ZPPDJNF'ZJHn5WSUCOMKYNJ\L=7Ujm_Hfa?]boWYxbaD`yc:ZglZVuad^r|hh4Xej\Twoj^lxxeb`7;Yfk[Qojmm1SheQ[ilg@l`ell2RodRZfmdFfhd682RoaRCnjnpUawungg;;7Ujb_LkmkwPbzzcdb95UESM27>gkzlkou~z`rdf`jq:76820ma|jae{ppjtbljd0=0!CMIE50=fd{ojht}{osgggkr;87$O=;5nlsgb`|usg{ooocz30?,G5401.DZS5f=fd{ojht}{osgggkr;87$NT]Q_T^22g>gkzlkou~z`rdf`jq:76'OS\R^[_03`?djumhnrya}eeamp969&LR[S]ZP23:8eitbimsxxb|jdblw858)MQZTx~gbrdlbi`bXfl~T=85nlsgb`|usg{ooocz30?,R52=fd{ojht}{osgggkr;87$YIJm4cmi`kphsi5:5=<5lljalqkrf494%OAEIe:aoofirf}k7<3 Kf:aoofirf}k7<3 K1g9`hneh}g~j0=0!D337?fjlkfexl2?>/F1[URX88>0oaelotlwe969&M8T\YQ>119`hneh}g~j0=0!EYR15>ekcje~byo30?,F\UYs{`gyicobee]maqYb3jf`ob{at`>3:+W682iggnaznuc?4;(UMNn0oaelotlwe9776880oaelotlwe9776'IGGKh4cmi`kphsi5;;2#J>0:aoofirf}k7==0!D033?fjlkfexl2>0?,G643<;4cmi`kphsi5;;2#J=_QV\7c=ddbidyczn<02=*T763jf`ob{at`>24;(UMN;n7nbdcnwmpd:687UdclrdcwaaYg{Uo7nbdcnwmpd:697;97nbdcnwmpd:697$H@FHi;bnhgjsi|h6:=3 K119`hneh}g~j0ekcje~byo310<-@7723jf`ob{at`>25;(C:VZ_S=?:;bnhgjsi|h6:=3 K2^RW[4723jf`ob{at`>25;(C:VZ_S??:;bnhgjsi|h6:=3 K2^RW[6`6mckbmvjqg;9;4%OAEIf:aoofirf}k7=?0!D028gimdg|dm1?=>/F255=ddbidyczn<00=*A46=2iggnaznuc?578)L;U[XR>>5:aoofirf}k7=?0!D3]SPZ76=2iggnaznuc?578)L;U[XR<>5:aoofirf}k7=?0!D3]SPZ5a3jf`ob{at`>26;(V981h`fm`uovb8449&[OL=h5lljalqkrf4885Sy}fmbpfeqccWqeySn5lljalqkrf484:=6mckbmvjqg;97$H@FHj;bnhgjsi|h6:2#Ji;bnhgjsi|h6:2#J>f:aoofirf}k7=3 K2068gimdg|dm1?1.E0\TQY79=1h`fm`uovb848)L;U[XR?>4:aoofirf}k7=3 K2^RW[7773jf`ob{at`>2:+C_X8?0oaelotlwe979&LR[S]ZP0078gimdg|dm1?1.DZS[URX9;;0oaelotlwe979&LR[Sy}fmsgmehccWgoSh5lljalqkrf484%]<>4cmi`kphsi5;5"_KHc:aoofirf}k7>3?>;bnhgjsi|h692#MCKGg8gimdg|dm1<1.Ed8gimdg|dm1<1.E3e?fjlkfexl2=>/F151=ddbidyczn<3<-@7YW\V::86mckbmvjqg;:7$O>R^[_037?fjlkfexl2=>/F1[URX:8:0oaelotlwe949&LR[=85lljalqkrf4;4%IU^PPU]350=ddbidyczn<3<-A]VXX]U:><5lljalqkrf4;4%IU^Ptrknv`hfelnTbhzPe:aoofirf}k7>3 ^119`hneh}g~j0?0!RDE2`>ekcje~byo32?]wwlkdzlkiiQwos]`?fjlkfexl2<>038gimdg|dm1=1.BNHB`=ddbidyczn<2<-@c=ddbidyczn<2<-@4`/S24>ekcje~byo33?,QAB7c3jf`ob{at`>0:Zrtadiyilzjd^zlvZe4:aoofirf}k783 K2^RW[6c7:+TBO8n0oaelotlwe929W}yban|jaugg[}iuWj1h`fm`uovb808692iggnaznuc?1;(DDBLn7nbdcnwmpd:26'Nm7nbdcnwmpd:26'N:j6mckbmvjqg;=7$O><:4cmi`kphsi5?5"IR^[_337?fjlkfexl2:>/F1[URX;l1h`fm`uovb808)Y8:0oaelotlwe939&[OL=i5lljalqkrf4<4Tx~gbcsgbp`bXpfxTo6mckbmvjqg;>7;:7nbdcnwmpd:16'IGGKk4cmi`kphsi5<5"Ih4cmi`kphsi5<5"I?i;bnhgjsi|h6=2#J=159`hneh}g~j0;0!D3]SPZ66<2iggnaznuc?2;(C:VZ_S7$Z==5lljalqkrf4?4%^HI>d:aoofirf}k7:3Q{sho`v`gsmmUscQl;bnhgjsi|h6<2ekcje~byo37?,G6ZVSW9;?7nbdcnwmpd:06'N9S]ZP1068gimdg|dm191.E0\TQY59=1h`fm`uovb828)L;U[XR=j;bnhgjsi|h6<2#_>0:aoofirf}k7;3 ]EF3g?fjlkfexl28>^vpmheumh~nhRv`r^a8gimdg|dm161109`hneh}g~j050!CMIEa>ekcje~byo38?,Gb>ekcje~byo38?,G5c=ddbidyczn<9<-@7733jf`ob{at`>;:+B5WY^T<<:4cmi`kphsi525"IR^[_2g8gimdg|dm161.P33?fjlkfexl27>/PFC4bekcje~byo39?,@HN@b3jf`ob{at`>::+Ba3jf`ob{at`>::+B6n2iggnaznuc?=;(C:8>0oaelotlwe9?9&M8T\YQ?159`hneh}g~j040!D3]SPZ76<2iggnaznuc?=;(C:VZ_S??;;bnhgjsi|h622#J=_QV\7`=ddbidyczn<8<-U462idyczna:alqkrf&gmj86kkgd68jdkb?2dnkhjhe69skvccolh0~h}jtbnh858682xnhzllj>3:+EKCOn0~h}jtbnh858)Ll1yi~k{cmi?4;(C9l1yi~k{cmi?4;(C:o1yi~k{cmi?4;(BPY;?7k|euaoo969&LR[S]ZP0068v`ub|jf`0=0!EYR\TQY69=1yi~k{cmi?4;(BPYU[XR<=0:pfw`rddb6;2#KWP^vpmhtbfhgnhR`jt^f8v`ub|jf`0=0!Qg9qavcskea7<3 ]EFg8wqiumje~byo30?30?vrhzlidyczn<1<-GIMA991xxb|jcnwmpd:76'N:=6}{osg`kphsi5:5"I?>1:qwkwcdg|dm1>1.E022>usg{ohcx`{a=2=*A4XX]U;=;5|tnpfgjsi|h6;2#J=_QV\540<{}eyinaznuc?4;(C:VZ_S??9;rvlv`eh}g~j0=0!D3]SPZ56>2ycklotlwe969&M8T\YQ;119ppjtbkfexl2?>/S26>usg{ohcx`{a=2=*WC@9;1xxb|jcnwmpd:76'_BAk5|tnpfgjsi|h6:<3?>;rvlv`eh}g~j0<>1$P37?vrhzlidyczn<02=*FJLN8;0ya}ebmvjqg;994%H<<4sumqafirf}k7==0!D031?vrhzlidyczn<02=*A46?2ycklotlwe9776'N9S]ZP0058wqiumje~byo311<-@7YW\V;:;6}{osg`kphsi5;;2#J=_QV\641<{}eyinaznuc?558)L;U[XR=>7:qwkwcdg|dm1??>/F1[URX<8;0ya}ebmvjqg;994%]<=4sumqafirf}k7==0!RDEe?vrhzlidyczn<03=54=t|fxnob{at`>25;"V9=1xxb|jcnwmpd:697$H@FH>1:qwkwcdg|dm1?>>/F26>usg{ohcx`{a=32:+B69;1xxb|jcnwmpd:697$O><94sumqafirf}k7=<0!D3]SPZ66?2ycklotlwe9766'N9S]ZP1058wqiumje~byo310<-@7YW\V8:;6}{osg`kphsi5;:2#J=_QV\741<{}eyinaznuc?548)L;U[XR:>1:qwkwcdg|dm1?>>/S27>usg{ohcx`{a=32:+TBOo1xxb|jcnwmpd:6:7;:7~z`rdalqkrf4885(\?;;rvlv`eh}g~j0<<1.BNHB47<{}eyinaznuc?578)L880ya}ebmvjqg;9;4%Husg{ohcx`{a=31:+B5WY^T<<94sumqafirf}k7=?0!D3]SPZ76?2ycklotlwe9756'N9S]ZP2058wqiumje~byo313<-@7YW\V9:;6}{osg`kphsi5;92#J=_QV\047<{}eyinaznuc?578)Y890ya}ebmvjqg;9;4%^HIi;rvlv`eh}g~j0<=1159ppjtbkfexl2>3?,@HN@692ycklotlwe9746'N:>6}{osg`kphsi5;82#J>139ppjtbkfexl2>3?,G641<{}eyinaznuc?568)L;U[XR>>7:qwkwcdg|dm1?<>/F1[URX98=0ya}ebmvjqg;9:4%H?Q_T^023>usg{ohcx`{a=30:+B5WY^T?<94sumqafirf}k7=>0!D3]SPZ2692ycklotlwe9746'[:?6}{osg`kphsi5;82#\JGg9ppjtbkfexl2>4?37?vrhzlidyczn<06=*FJLN8;0ya}ebmvjqg;9=4%H<<4sumqafirf}k7=90!D031?vrhzlidyczn<06=*A46?2ycklotlwe9736'N9S]ZP0058wqiumje~byo315<-@7YW\V;:;6}{osg`kphsi5;?2#J=_QV\645<{}eyinaznuc?518)MQZ:46}{osg`kphsi5;?2#KWP^RW[57?3z~d~hm`uovb8429&LR[S]ZP1368wqiumje~byo315<-A]VX|zcf~h`nmdf\j`rX981xxb|jcnwmpd:6<7$Z=>5|tnpfgjsi|h6:83 ]EFd8wqiumje~byo314<20>usg{ohcx`{a=36:+EKCO;:7~z`rdalqkrf48?5"I?=;rvlv`eh}g~j0<;1.E326>usg{ohcx`{a=36:+B59>1xxb|jcnwmpd:6=7$O>R^[_134?vrhzlidyczn<07=*A4XX]U:=:5|tnpfgjsi|h6:93 K2^RW[7703z~d~hm`uovb8439&M8T\YQ<169ppjtbkfexl2>5?,G6ZVSW=;:7~z`rdalqkrf48?5"\?<;rvlv`eh}g~j0<;1.SGDb>usg{ohcx`{a=35:42<{}eyinaznuc?538)KEAM=<5|tnpfgjsi|h6::3 K139ppjtbkfexl2>6?,G544<{}eyinaznuc?538)L;;<7~z`rdalqkrf48<5"I22;(C:VZ_S1xxb|jcnwmpd:6>7$O>R^[_234?vrhzlidyczn<04=*A4XX]U?=<5|tnpfgjsi|h6::3 ^129ppjtbkfexl2>6?,QAB`<{}eyinaznuc?5286<2ycklotlwe9706'IGGK?>;rvlv`eh}g~j0<91.E31?vrhzlidyczn<05=*A76:2ycklotlwe9706'N9=:5|tnpfgjsi|h6:;3 K2^RW[5703z~d~hm`uovb8419&M8T\YQ>169ppjtbkfexl2>7?,G6ZVSW;;<7~z`rdalqkrf48=5"I23;(BPY8?7~z`rdalqkrf48=5"HV__uqjiwciidooSck{_038wqiumje~byo316<-U45<{}eyinaznuc?528)ZLMm7~z`rdalqkrf4825=95|tnpfgjsi|h6:43 LLJD25>usg{ohcx`{a=3;:+B6:2ycklotlwe97?6'N:=?5|tnpfgjsi|h6:43 K2058wqiumje~byo319<-@7YW\V::;6}{osg`kphsi5;32#J=_QV\541<{}eyinaznuc?5=8)L;U[XR<>3:qwkwcdg|dm1?7>/G[T4><{}eyinaznuc?5=8)MQZT\YQ?199ppjtbkfexl2>8?,F\UYW\V;986}{osg`kphsi5;32#KWP^vpmhtbfhgnhR`jt^32?vrhzlidyczn<0:=*T743z~d~hm`uovb84>9&[OLi6}{osg`kphsi5;5=>5|tnpfgjsi|h6:2#MCKG33?vrhzlidyczn<0<-@47<{}eyinaznuc?5;(C98;0ya}ebmvjqg;97$O><84sumqafirf}k7=3 K2^RW[5713z~d~hm`uovb848)L;U[XR?>6:qwkwcdg|dm1?1.E0\TQY59?1xxb|jcnwmpd:66'N9S]ZP3048wqiumje~byo31?,G6ZVSW=;;7~z`rdalqkrf484%]<<4sumqafirf}k7=3 ]EFg8wqiumje~byo32?30?vrhzlidyczn<3<-GIMA991xxb|jcnwmpd:56'N:=6}{osg`kphsi585"I?>1:qwkwcdg|dm1<1.E022>usg{ohcx`{a=0=*A4XX]U;=;5|tnpfgjsi|h692#J=_QV\540<{}eyinaznuc?6;(C:VZ_S??9;rvlv`eh}g~j0?0!D3]SPZ56:2ycklotlwe949&LR[>>5|tnpfgjsi|h692#KWP^vpmhtbfhgnhR`jt^33?vrhzlidyczn<3<-U44<{}eyinaznuc?6;(UMNo0ya}ebmvjqg;;7;87~z`rdalqkrf4:4%OAEI119ppjtbkfexl2<>/F25>usg{ohcx`{a=1=*A7692ycklotlwe959&M8::6}{osg`kphsi595"I0:+B5WY^T=<84sumqafirf}k7?3 K2^RW[7713z~d~hm`uovb868)L;U[XR=>2:qwkwcdg|dm1=1.DZS66=t|fxnob{at`>0:+C_XV~xe`|jn`of`Zhb|V;;7~z`rdalqkrf4:4%]<<4sumqafirf}k7?3 ]EFg8wqiumje~byo34?30?vrhzlidyczn<5<-GIMA991xxb|jcnwmpd:36'N:=6}{osg`kphsi5>5"I?>1:qwkwcdg|dm1:1.E022>usg{ohcx`{a=6=*A4XX]U;=;5|tnpfgjsi|h6?2#J=_QV\540<{}eyinaznuc?0;(C:VZ_S??9;rvlv`eh}g~j090!D3]SPZ56>2ycklotlwe929&M8T\YQ;179ppjtbkfexl2;>/F1[URX=8:0ya}ebmvjqg;<7$Z=?5|tnpfgjsi|h6?2#\JGd9ppjtbkfexl2:>018wqiumje~byo35?,@HN@682ycklotlwe939&M;:7~z`rdalqkrf4<4%H;rvlv`eh}g~j080!D335?vrhzlidyczn<4<-@7YW\V:::6}{osg`kphsi5?5"I6:+B5WY^T><84sumqafirf}k793 K2^RW[6753z~d~hm`uovb808)MQZ9?6}{osg`kphsi5?5"HV__uqjiwciidooSck{_028wqiumje~byo35?,R57=t|fxnob{at`>6:+TBOl1xxb|jcnwmpd:16890ya}ebmvjqg;>7$H@FH>0:qwkwcdg|dm181.E32?vrhzlidyczn<7<-@4763z~d~hm`uovb838)L;;=7~z`rdalqkrf4?4%H?Q_T^222>usg{ohcx`{a=4=*A4XX]U:=;5|tnpfgjsi|h6=2#J=_QV\640<{}eyinaznuc?2;(C:VZ_S>?9;rvlv`eh}g~j0;0!D3]SPZ2682ycklotlwe909&X;97~z`rdalqkrf4?4%^HIj;rvlv`eh}g~j0:0>0:qwkwcdg|dm191$P30?vrhzlidyczn<6<-GIMA991xxb|jcnwmpd:06'N:=6}{osg`kphsi5=5"I?>1:qwkwcdg|dm191.E022>usg{ohcx`{a=5=*A4XX]U;=;5|tnpfgjsi|h6<2#J=_QV\540<{}eyinaznuc?3;(C:VZ_S??9;rvlv`eh}g~j0:0!D3]SPZ56>2ycklotlwe919&M8T\YQ;179ppjtbkfexl28>/F1[URX=8:0ya}ebmvjqg;?7$Z=?5|tnpfgjsi|h6<2#\JGd9ppjtbkfexl27>028wqiumje~byo38?&R56=t|fxnob{at`>;:+EKCO;;7~z`rdalqkrf414%H2ycklotlwe9>9&M8T\YQ=179ppjtbkfexl27>/F1[URX;8<0ya}ebmvjqg;07$O>R^[_533?vrhzlidyczn<9<-U44<{}eyinaznuc?<;(UMNo0ya}ebmvjqg;17;;7~z`rdalqkrf404/]<=4sumqafirf}k753 LLJD24>usg{ohcx`{a=;=*A763z~d~hm`uovb8<8)L8;:7~z`rdalqkrf404%H??9;rvlv`eh}g~j040!D3]SPZ66>2ycklotlwe9?9&M8T\YQ>179ppjtbkfexl26>/F1[URX:880ya}ebmvjqg;17$NT]?8;rvlv`eh}g~j040!EYR\TQY79>1xxb|jcnwmpd:>6'OS\R^[_000?vrhzlidyczn<8<-A]VX|zcf~h`nmdf\j`rX991xxb|jcnwmpd:>6'[:>6}{osg`kphsi535"_KHa:wbvqesz5:5j6{nruawv969&JF@Jn5zasv`pw:76'No7xo}tbvq858)L8n0yl|{cup?4;(C:880yl|{cup?4;(C:VZ_S=?=;tcqpfru494%H?Q_T^326>sfz}i~1>1.E0\TQY5m2j~ym{r=2=*@^W9:1~mzlts>3:+C_XVZ_S=?<;tcqpfru494%IU^PPU]25c=ri{~hx2?>/G[TZrtadxnblcjd^lfpZe<}hxoy|30?,Ra>sfz}i~1>1.SGD5g=ri{~hx2?>^vpmheumh~nhRv`r^c8qdtsk}x7=3h4u`pwgqt;97$H@FHl;tcqpfru484%Hi5zasv`pw:66'N:h6{nruawv979&M8:>6{nruawv979&M8T\YQ?139vewrd|{6:2#J=_QV\544<}hxoy|31?,G6ZVSW;o0yl|{cup?5;(BPY;m7xo}tbvq848)MQZTx~gbrdlbi`bXfl~To6{nruawv979&Xo0yl|{cup?5;(UMN;i7xo}tbvq848X|zcfokntdf\|jtXi2j~ym{r=0=b>sfz}i~1<1.BNHBf=ri{~hx2=>/Fg?pgu|j~y0?0!D0f8qdtsk}x7>3 K2008qdtsk}x7>3 K2^RW[5753|kyxnz}<3<-@7YW\V;:>6{nruawv949&M8T\YQ=c:wbvqesz585"\k4u`pwgqt;:7$YIJ?m;tcqpfru4;4Tx~gbcsgbp`bXpfxTm6{nruawv959n2j~ym{r=1=*FJLNj1~mzlts>0:+Bc3|kyxnz}<2<-@4b<}hxoy|33?,G644<}hxoy|33?,G6ZVSW9;97xo}tbvq868)L;U[XR?>2:wbvqesz595"Isfz}i~1=1.Pg8qdtsk}x7?3 ]EF3a?pgu|j~y0>0Ptrkngwcf|lnTtb|Pa:wbvqesz5>5j6{nruawv929&JF@Jn5zasv`pw:36'No7xo}tbvq818)L8n0yl|{cup?0;(C:880yl|{cup?0;(C:VZ_S=?=;tcqpfru4=4%H?Q_T^326>sfz}i~1:1.E0\TQY5k2j~ym{r=6=*Tc<}hxoy|34?,QAB7e3|kyxnz}<5<\pvojk{ojxhjPxnp\e>sfz}dd{1>1c:wbvqhh5:5(\h4u`pwjjq;87$H@FHl;tcqpkip494%Hi5zasvmkr:76'N:h6{nrulls969&M8:>6{nrulls969&M8T\YQ?139vewrig~6;2#J=_QV\544<}hxbby30?,G6ZVSW;;97xo}tomt858)L;U[XR=l;tcqpkip494%]h5zasvmkr:76'XNK/F2`>qfa}dd{1>1.E026>qfa}dd{1>1.E0\TQY79;1|mdzaov>3:+B5WY^T=<<4w`kwjjq;87$O>R^[_3g8sdosff}7<3 JXQ3e?rgn|ge|0=0!EYR\pvojzldjahjPndv\g>qfa}dd{1>1.Pg8sdosff}7<3 ]EF3a?rgn|ge|0=0Ptrkngwcf|lnTtb|P}ABs76b23IJs=8:5F;095~U5<33>6=4>351g21b6==0;6<4>{R07><3=83;88>j94;c`55=q\8o1<7?51;015~U5<33>6=4>351g21o1<6s+9084g>"?<33?7o?:3;29f?45i389=vF60:&;b?72;2P9<7?t1b8~m<>=831b994?::m4=?6=,1=1;55a8783?>i0>3:1(595799m<3<632e<<7>5$9593==i0?0?76a82;29 =1=?11e4;4<;:m40?6=,1=1;55a8781?>i1i3:1(595799m<3<032e=o7>5$9593==i0?0=76a9e;29 =1=?11e4;4:;:m;`?6=3k326=4>:183!?c2190D4>4i9194?">l3287)9m:018 =3=9:10qo7n:181>5<7s-3o6:4={%::>=4<^<;1>v*>5985?!72?3<0qpsr;|`61?6=93:1"0j3;?7)6::068?xd2>3:1>7>50z&:`?7a3A3;7d;8:18'=a<2?21d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21:6*>5685?x{zu2wi;l4?:083>5}#1m03?6F60:m;7?6=,0n14>5+7c82e>"?=3;j76sm7883>7<729q/5i4>f:J:4>o2?3:1(4j55698k=4=83.2h76=;W;e>4}Ki80:w[<8:3y'<<=7<=#9<=156sr}|9~f21=83;1<7>t$8f9<6=O191d4>4?:%;g>=5<,>h1=85+84821>=zj><1<7<50;2x !?c2<=07b6=:18'=a4774$074><=zutw0qo9>:182>5<7s-3o65=4H828k=5=83.2h76<;%5a>4?<,1?1=454}c53>5<5290;w)7k:0d8L<6i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<>3-;>;774}|~?xd0;3:1=7>50z&:`?>43A3;7b6<:18'=a;%:6>47<3th<>7>52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8659:&212<>3twvq6sm7483>4<729q/5i473:J:4>i?;3:1(4j5829'3g<6:2.397?=;:a31<72;0;6=u+9e82b>N>82c>;7>5$8f912={W04>7}#0003>6X:1;0x 43?201/=8959:~yx=zj?h1<7?50;2x !?c2190(:l5179'<0<6>21vn;o50;094?6|,0n1=k5G919j12<72-3o6894;n:1>5<#1m03>6X6f;3xHd7=9r\9;75<6290;w)7k:918L<64`<@0:0e8950;&:`?3032e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=1027)?:7;;8yx{z3th=j7>51;294~">l3287E7?;n:0>5<#1m03?6*8b;3;?!>228207pl9e;296?6=8r.2h7?i;I;3?l30290/5i4:7:9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:9=>"6=>027psr}:a<`<7280;6=u+9e8;7>N>82e3?7>5$8f9<6=#?k0:n6*75;3a?>{e0m0;6?4?:1y'=a<6n2B2<6g:7;29 10c5<50;&:`?>53_3m6{zut1vn?l=:181>5<7s-3o6:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`1f4<72;0;6=u+9e82b>N>82c>;7>5$8f912={W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj;hj6=4=:183!?c28l0D4>4i4594?">l3?<76a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?76<729q/5i467:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<63-;>;7?4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f7dc290=6=4?{%;g>04<@0:0(;65119j12<72-3o6894V8d95~Jf93;pZ;752z&;=?303_?:6?u+14:95>"6=>0:7psr}:k15?6=,0n1><5Y9g82Ig628q]:44={%::>77<^<;1>v*>5982?!72?3;0qpsr;h01>5<#1m09>6X6f;0xHd7=9r\=5774<,8?>6<;7;|T12?4|,8?=6894$076>43?3t.9n=4n;|T;g?5|^;?1>v*>57816>"6=<0:955rV3496~"6=?0>;6*>548212=z,;h;6l5rV9a97~P5=38p(<;9:308 43228?37pX=6;0x 4312;;0(<;::07;?x"5j9097pX78;0xR73=:r.:9;4=2:&210<6=11v(?l?:29~yx=n0k0;6)7k:9`8R<`=:rFj=7?tV7;96~"?132i7[;>:3y'50>=n2.:9:4i;|~Hd6=9r\2?754821==z,;h;6h5r}|9jf3_?:6?u+14:9b>"6=>0m7psrL`295~P>;38pZ?;52z&213599~ 7d72l1vqp5`8383>!?c21807pl:3;295?6=8r.2h7o;;I;3?j>5290/5i472:9~f<4=83;1<7>t$8f9<5=O191d4?4?:%;g>=4<3th9n94?:283>5}#1m02;6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb3`6>5<6290;w)7k:`68L<6{e:k=1<7950;2x !?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:90>"6=>0?7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<<192\>=7g=#9<=1n6sr}|9j27<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13<97[;>:3y'50>=j2.:9:4m;|~y>o1;3:1(4j5569U=c<6sEk:6>2?90Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%361C5n5Y9g82Ig628q]>:4={%::>0><^<;1>v*>5987?!72?3>0qpsr;h7:>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=7g=#9<=1n6sr}|9j24<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13<:7[;>:3y'50>=j2.:9:4m;|~y>o1:3:1(4j5569K=f=Q1o0:wAo>:0yU62<5s-226;<4V4396~"6=10i7)?:7;`8yx{z3`<86=4+9e863>P>n3;p@l?51zT13?4|,131:>5Y5081!7203h0(<;8:c9~yx{1<7*6d;74?S?a28qGm<4>{W04>7}#000=86X:1;0x 43?2k1/=895b:~yx=n><0;6)7k:458R<`=9rFj=7?tV3596~"?13<>7[;>:3y'50>=j2.:9:4m;|~y>o1>3:1(4j5569U=c<6sEk:6>2?<0Z8?52z&21=;7l4}|~?l00290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>>1]9<4={%364}Ki80:w[<8:3y'<<<2i2\>=74=#9<=1=6sr}|9j1g<72-3o6894V8d95~Jf93;pZ?952z&;=?3e3_?:6?u+14:95>"6=>0:7psr}:k6g?6=,0n19:5Y9g82Ig628q]>:4={%::>0e<^<;1>v*>5982?!72?3;0qpsr;h7g>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886a>P2938p(<;7:09'501=92wvqp5f5g83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7e?S362;q/=8651:&212<63twvq6a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?747=83:p(4j51e9K=5=n=10;6)7k:458L7}#9<21n6*>568a?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10i7)?:7;`8yx{z3`<;6=4+9e863>P>n3;p@l?51zT13?4|,131:=5Y5081!7203h0(<;8:c9~yx{{zut1b:?4?:%;g>01<^0l1=vBn1;3xR71=:r.3578=;W72>7}#9<21n6*>568a?x{zu2c=?7>5$8f912=Q1o0:wAo>:0yU62<5s-226;=4V4396~"6=10i7)?:7;`8yx{z3`P>n3;p@l?51zT13?4|,131:95Y5081!7203h0(<;8:c9~yx{{W04>7}#000=96X:1;0x 43?2k1/=895b:~yx=n>?0;6)7k:458R<`=9rFj=7?tV3596~"?13<=7[;>:3y'50>=j2.:9:4m;|~y>o1?3:1(4j5569U=c<6sEk:6>2?=0Z8?52z&21=;7l4}|~?l3f290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==h1]9<4={%364}Ki80:w[<8:3y'<<<2j2\>=74=#9<=1=6sr}|9j1f<72-3o6894V8d95~Jf93;pZ?952z&;=?3d3_?:6?u+14:95>"6=>0:7psr}:k6`?6=,0n19:5Y9g82Ig628q]>:4={%::>0b<^<;1>v*>5982?!72?3;0qpsr;h7f>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886b>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm2c494?2=83:p(4j5809K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<63-;>;7?4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f7d429086=4?{%;g>4b<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21;6*>5684?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th9no4?:783>5}#1m0:h6F60:k6>2<20Z8?52z&21=;764}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%36{W04>7}#000=<6X:1;0x 43?2j1/=895c:~yx=n>80;6)7k:458L;W72>7}#9<21o6*>568`?x{zu2c=>7>5$8f912=Q1o0:wAo>:0yU62<5s-226;<4V4396~"6=10h7)?:7;a8yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm28;94?4=83:p(4j51g9K=5=n=>0;6)7k:458?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`??03A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi>l:50;494?6|,0n19?5G919'2=<682c>;7>5$8f912=Q1o0:wAo>:0yU2<<5s-226894V4396~"6=10:7)?:7;38yx{z3`8:6=4+9e815>P>n3;p@l?51zT5=?4|,131><5Y5081!7203;0(<;8:09~yx{{W4:>7}#0009>6X:1;0x 43?2m1/=895d:~yIg72=q]4n4<{W06>7}#9<<1>?5+147950>uY2481!72>3897)?:5;36<>{Q:?09w)?:6;74?!72=3;>;6s+2c29e>{Q0j08w[<::3y'500=:;1/=8;514:8yS412;q/=885209'503=9<20q)?2;q]>84={%362?453-;>97?:8:'6g6=;2wvq6g7b;29 4}Q>009w)66:9`8R07=:r.:954i;%363?`{W;0>7}Q:<09w)?:6;:a?!72=3;>46s+2c29a>{zu2c3m7>5$8f9:0yU2<<5s-2265o4V4396~"6=10m7)?:7;d8yx{Ki90:w[7<:3yU60<5s-;>:76n;%361?7202w/>o>5e:~y>i?:3:1(4j58398yg4>k3:1?7>50z&:`??03A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi>4j50;394?6|,0n1m95G919l<7<72-3o65<4;|`1=c<72?0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954m;%363?dl3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:c9'501=j2wvqp5f6083>!?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?063_?:6?u+14:9f>"6=>0i7psr}:k56?6=,0n19:5G9b9U=c<6sEk:6>2?80Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%361C5n5Y9g82Ig628q]>:4={%::>0><^<;1>v*>5987?!72?3>0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<182\>=7g=#9<=1n6sr}|9j24<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13<:7[;>:3y'50>=j2.:9:4m;|~y>o1:3:1(4j5569U=c<6sEk:6>2?80Z8?52z&21=;7l4}|~?l04290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>:1]9<4={%364}Ki80:w[<8:3y'<<<1<2\>=7g=#9<=1n6sr}|9j20<72-3o6894V8d95~Jf93;pZ?952z&;=?023_?:6?u+14:9f>"6=>0i7psr}:k52?6=,0n19:5Y9g82Ig628q]>:4={%::>30<^<;1>v*>598a?!72?3h0qpsr;h44>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886e>P2938p(<;7:09'501=92wvqp5f5c83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7a?S362;q/=8651:&212<63twvq6g:c;29 1]5k4>{Mc2>4}Q:>09w)66:4a8R07=:r.:954>;%363?747?4$074>4=zutw0e8k50;&:`?303_3m6{zut1b9k4?:%;g>01<^0l1=vBn1;3xR71=:r.357;i;W72>7}#9<21=6*>5682?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th9m<4?:0394?6|,0n1=i5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:9f>"6=>0i7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<192\>=7g=#9<=1n6sr}|9j27<72-3o6894V8d95~Jf93;pZ?952z&;=?053_?:6?u+14:9f>"6=>0i7psr}:k57?6=,0n19:5Y9g82Ig628q]>:4={%::>35<^<;1>v*>598a?!72?3h0qpsr;h47>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88851>P2938p(<;7:c9'501=j2wvqp5f6783>!?c2<=0Z4h51zNb5?7|^;=1>v*79;45?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:758R07=:r.:954>;%363?747?4$074>4=zutw0e8l50;&:`?303_3m6{zut1b9n4?:%;g>01<^0l1=vBn1;3xR71=:r.357;l;W72>7}#9<21=6*>5682?x{zu2c>h7>5$8f912=Q1o0:wAo>:0yU62<5s-2268j4V4396~"6=10:7)?:7;38yx{z3`?n6=4+9e863>P>n3;p@l?51zT13?4|,1319h5Y5081!7203;0(<;8:09~yx{{W04>7}#000>j6X:1;0x 43?281/=8951:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e:h81<7?>:183!?c28n0D4>4i4:94?">l3?<7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:c9'501=j2wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865b:&2121C5n5Y9g82Ig628q]>:4={%::>36<^<;1>v*>598a?!72?3h0qpsr;h42>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88856>P2938p(<;7:c9'501=j2wvqp5f6283>!?c2<=0Z4h51zNb5?7|^;=1>v*79;40?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:768R07=:r.:954m;%363?d47l4$074>g=zutw0e;850;&:`?303_3m6{zut1b::4?:%;g>01<^0l1=vBn1;3xR71=:r.35788;W72>7}#9<21=6*>5682?x{zu2c>m7>5$8f912=Q1o0:wAo>:0yU62<5s-2268o4V4396~"6=10:7)?:7;38yx{z3`?i6=4+9e863>P>n3;p@l?51zT13?4|,1319o5Y5081!7203;0(<;8:09~yx{{W04>7}#000>o6X:1;0x 43?281/=8951:~yx=n=m0;6)7k:458R<`=9rFj=7?tV3596~"?13?o7[;>:3y'50>=92.:9:4>;|~y>o2m3:1(4j5569U=c<6sEk:6>2;7?4}|~?l3a290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==o1]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f7?b290>6=4?{%;g>=7<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21=6*>5682?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10:7)?:7;38yx{z3`<:6=4+9e863>P>n3;p@l?51zT13?4|,131:<5Y5081!7203;0(<;8:09~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj;3i6=4<:183!?c28n0D4>4i4:94?">l3?<7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:69'501=?2wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=8657:&212<03twvq6a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?77283>7<729q/5i4>f:J:4>o2?3:1(4j55698k=4=83.2h76=;W;e>4}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f41529096=4?{%;g>4`<@0:0e8950;&:`?3032e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:;h4?:783>5}#1m0>>6F60:&5P>n3;p@l?51zT5=?4|,1319:5Y5081!7203;0(<;8:09~yx{{W4:>7}#0009=6X:1;0x 43?281/=8951:~yx=n:;0;6)7k:308R<`=:rFj=7?tV7;96~"?13897[;>:3y'50>=l2.:9:4k;|~Hd6=6*>54821==z^;<1>v*>57863>"6=<0:955r$3`3>d=z^1i1?vX=5;0x 4312;80(<;::07;?xP5>38p(<;9:458 43228?<7p*=b18b?xP?k39pZ?;52z&213<5:2.:984>599~R70=:r.:9;4=1:&210<6=11v(?l?:39~R=>=:r\99774<,8?>6<;7;|&1f5<43twv7d6m:18'=a47h4$074>c=zutFj<7?tV8196~P5=38p(<;9:9`8 43228?37p*=b18f?x{z3`2j6=4+9e8;e>P>n38p@l?51zT5=?4|,1314l5Y5081!7203l0(<;8:g9~yxJf83;pZ4=52zT11?4|,8?=65o4$076>43?3t.9n=4j;|~?j>5290/5i472:9~f41229086=4?{%;g><1<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21=6*>5682?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:;;4?:083>5}#1m0j86F60:m;6?6=,0n14?54}c34P>n3;p@l?51zT13?4|,131955Y5081!7203;0(<;8:09~yx{{W04>7}#000>56X:1;0x 43?281/=8951:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e9>31<7:50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8654:&212<33twvq6g:9;29 1C5n5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb05b>5<3290;w)7k:0f8L<6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21n6*>568a?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10i7)?:7;`8yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{54;294~">l3;o7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:c9'501=j2wvqp5f6183>!?c2<=0Z4h51zNb5?7|^;=1>v*79;43?S362;q/=865b:&212{Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?77b83>1<729q/5i4>d:J:4>o203:1(4j5569K=f=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10i7)?:7;`8yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203h0(<;8:c9~yx{{W04>7}#000=<6X:1;0x 43?2k1/=895b:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e9>=1<7850;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8651:&212<63twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954>;%363?747?4$074>4=zutw0e;?50;&:`?303_3m6{zut1b:?4?:%;g>01<^0l1=vBn1;3xR71=:r.3578=;W72>7}#9<21=6*>5682?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:;94?:283>5}#1m0:h6F60:k6:4={%::>0><^<;1>v*>5984?!72?3=0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;71vqps4o9094?">l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb0:3>5<5290;w)7k:0d8L<6i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd6?o0;6?4?:1y'=a<6n2B2<6g:7;29 10c5<50;&:`?>53_3m6{zut1vn<6m:185>5<7s-3o68<4H828 3>=991b9:4?:%;g>01<^0l1=vBn1;3xR3?=:r.357;8;W72>7}#9<21=6*>5682?x{zu2c9=7>5$8f964=Q1o0:wAo>:0yU2<<5s-226??4V4396~"6=10:7)?:7;38yx{z3`896=4+9e816>P>n38p@l?51zT5=?4|,131>?5Y5081!7203n0(<;8:e9~yxJf83>pZ5m53zT11?4|,8?=6?<4$076>43?3t\9:701<,8?>6<;7;|&1f56*>54821==z^;<1>v*>57863>"6=<0:9:5r$3`3>d=z^1i1?vX=5;0x 4312;80(<;::07;?xP5>38p(<;9:338 43228?37p*=b181?xP?038pZ?;52z&213<5:2.:984>599~ 7d72:1vqp5f8c83>!?c21h0Z4h52zNb5?7|^?31>v*79;:a?S362;q/=865f:&21251zT:7?4|^;?1>v*>578;f>"6=<0:955r$3`3>`=zut1b4l4?:%;g>=g<^0l1>vBn1;3xR3?=:r.3576n;W72>7}#9<21j6*>568e?x{zDh:1=vX63;0xR73=:r.:9;47a:&210<6=11v(?l?:d9~yx=h0;0;6)7k:908?xd60;0;6>4?:1y'=a<>?2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn<6<:182>5<7s-3o6l:4H828k=4=83.2h76=;:a5=3=8391<7>t$8f95a=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21=6*>5682?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10:7)?:7;38yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{54;294~">l3;o7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<212\>=7g=#9<=1n6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9f>"6=>0i7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`2<2<72=0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954;;%363?247l4$074>g=zutw0e;>50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=5650;694?6|,0n1=i5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:9f>"6=>0i7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb0::>5<3290;w)7k:0f8L<6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21n6*>568a?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10i7)?:7;`8yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{56;294~">l32:7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:09'501=92wvqp5f6183>!?c2<=0Z4h51zNb5?7|^;=1>v*79;43?S362;q/=8651:&212<63twvq6g91;29 1]5k4>{Mc2>4}Q:>09w)66:738R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn<6>:180>5<7s-3o6=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=72=#9<=1;6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:93>"6=>0<7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`2N>82c>;7>5$8f912={W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj82h6=4=:183!?c28l0D4>4i4594?">l3?<76a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?79983>3<729q/5i4:2:J:4>"103;;7d;8:18'=a<2?2\2j7?tL`395~P1138p(575569U14<5s-;>47?4$074>4=zutw0e??50;&:`?463_3m62;q/444=1:T65?4|,8?36<5+14595>{zut1b>?4?:%;g>74<^0l1>vBn1;3xR3?=:r.357<=;W72>7}#9<21h6*>568g?x{zDh:18vX7c;1xR73=:r.:9;4=2:&210<6=11vZ?852z&213<2?2.:984>599~ 7d72h1vZ5m53zT11?4|,8?=6?<4$076>43?3t\9:701<,8?>6<;8;|&1f56*>54821==z^;<1>v*>57815>"6=<0:955r$3`3>7=z^121>vX=5;0x 4312;80(<;::07;?x"5j9087psr;h:a>5<#1m03n6X6f;0xHd7=9r\=57=d<,8?>6<;7;|&1f547h4$074>c=zutFj<7?tV8196~P5=38p(<;9:9c8 43228?37p*=b18f?x{z3f296=4+9e8;6>=zj82m6=4<:183!?c20=0D4>4i4:94?">l3?<7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:09'501=92wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=8651:&212<63twvq6a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?79183>4<729q/5i4n4:J:4>i?:3:1(4j58398yg7>:3:1?7>50z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=4=50;694?6|,0n1=i5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:90>"6=>0?7psr}:k6=?6=,0n19:5G9b9U=c<6sEk:6>2<30Z8?52z&21=;7l4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f4?3290?6=4?{%;g>4b<@0:0e8650;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:59'501=<2wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:728R07=:r.:954m;%363?d47?4$074>4=zutw0qo?65;290?6=8r.2h7?k;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=7g=#9<=1n6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9f>"6=>0i7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`2=3<72=0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954m;%363?d47l4$074>g=zutw0e;>50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=4?50;494?6|,0n14<5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:95>"6=>0:7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>5982?!72?3;0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88855>P2938p(<;7:09'501=92wvqp5f6383>!?c2<=0Z4h51zNb5?7|^;=1>v*79;41?S362;q/=8651:&212<63twvq6a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?78d83>6<729q/5i4>d:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<03-;>;794}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f75429096=4?{%;g>4`<@0:0e8950;&:`?3032e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th9??4?:383>5}#1m0:j6F60:k63?6=,0n19:54o9094?">l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb31e>5<1290;w)7k:408L<6<,?21==5f5683>!?c2<=0Z4h51zNb5?7|^?31>v*79;74?S362;q/=8651:&212<63twvq6g=1;29 {Mc2>4}Q>009w)66:338R07=:r.:954>;%363?747j4$074>a=zutFj<7:tV9a97~P5=38p(<;9:308 43228?37pX=6;0x 4312<=0(<;::07;?x"5j90j7pX7c;1xR73=:r.:9;4=2:&210<6=11vZ?852z&213<2?2.:984>569~ 7d72h1vZ5m53zT11?4|,8?=6?<4$076>43?3t\9:777<,8?>6<;7;|&1f5<53t\3476*>54821==z,;h;6>5r}|9je3_?:6?u+14:9b>"6=>0m7psrL`295~P>;38pZ?;52z&213599~ 7d72l1vqp5f8`83>!?c21k0Z4h52zNb5?7|^?31>v*79;:b?S362;q/=865f:&21251zT:7?4|^;?1>v*>578;e>"6=<0:955r$3`3>`=zut1d4?4?:%;g>=4<3th9?84?:283>5}#1m02;6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb315>5<6290;w)7k:`68L<6{e::21<7=50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8651:&212<63twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954>;%363?747?4$074>4=zutw0qo<<9;297?6=8r.2h7?k;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=74=#9<=1=6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a66g=83>1<7>t$8f95a=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<2186*>5687?x{zu2c>57>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954m;%363?d47l4$074>g=zutw0c5<50;&:`?>53_3m6{zut1vn?=m:187>5<7s-3o6=83.2h7;8;I;`?S?a28qGm<4>{W04>7}#000>46X:1;0x 43?2=1/=8954:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47l4$074>g=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21n6*>568a?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th9?i4?:583>5}#1m0:h6F60:k6>2<20Z8?52z&21=;7l4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=7g=#9<=1n6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a661=83=1<7>t$8f9<4=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21=6*>5682?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10:7)?:7;38yx{z3`<;6=4+9e863>P>n3;p@l?51zT13?4|,131:=5Y5081!7203;0(<;8:09~yx{{W04>7}#000==6X:1;0x 43?281/=8951:~yx=n>;0;6)7k:458R<`=9rFj=7?tV3596~"?13<97[;>:3y'50>=92.:9:4>;|~y>o1;3:1(4j5569U=c<6sEk:6>2?90Z8?52z&21=<63-;>;7?4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>4794$074>2=zutw0e8750;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi>9?50;094?6|,0n1=k5G919j12<72-3o6894;n:1>5<#1m03>6X6f;3xHd7=9r\9;7=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e:=i1<7850;2x o2?3:1(4j5569U=c<6sEk:6>2<=0Z8?52z&21=<63-;>;7?4}|~?l46290/5i4=1:T:b?7|Dh;1=vX99;0x =?=:81]9<4={%367}Ki80:w[86:3y'<<<5:2\>=7a=#9<=1h6sr}Mc3>1}Q0j08w[<::3y'500=:;1/=8;514:8yS412;q/=885569'503=9<20q)d2:q]>84={%362?453-;>97?:8:U63<5s-;>:7;8;%361?72?2w/>o>5a:U6?u+144967=#97}#9<<1><5+147950>7}Q:<09w)?:6;01?!72=3;>46s+2c297>{zu2c3n7>5$8f9:0yU2<<5s-2265l4V4396~"6=10m7)?:7;d8yx{Ki90:w[7<:3yU60<5s-;>:76m;%361?7202w/>o>5e:~y>o?i3:1(4j58`9U=c<5sEk:6>21k0Z8?52z&21=;7h4}|Oe5<6s_386?uY2481!72>32j7)?:5;36<>{#:k:1i6sr}:m;6?6=,0n14?54}c077?6=;3:1P>n3;p@l?51zT13?4|,131955Y5081!7203;0(<;8:09~yx{{W04>7}#000>56X:1;0x 43?281/=8951:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e:=>1<7?50;2x !?c21807pl=4783>6<729q/5i4>d:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<63-;>;7?4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f720290?6=4?{%;g>4b<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<@0i0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:728R07=:r.:954m;%363?d47?4$074>4=zutw0qo<;8;290?6=8r.2h7?k;I;3?l3?290/5i4:7:J:g>P>n3;p@l?51zT13?4|,131955Y5081!7203>0(<;8:59~yx{{W04>7}#000>56X:1;0x 43?2k1/=895b:~yx=n>90;6)7k:458R<`=9rFj=7?tV3596~"?13<;7[;>:3y'50>=j2.:9:4m;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd5<00;694?:1y'=a<6l2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954m;%363?d47l4$074>g=zutw0e;>50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi>9o50;694?6|,0n1=i5G919j1=<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=j2.:9:4m;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=;7l4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f722290=6=4?{%;g>=7<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21=6*>5682?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10:7)?:7;38yx{z3`<:6=4+9e863>P>n3;p@l?51zT13?4|,131:<5Y5081!7203;0(<;8:09~yx{{W04>7}#000=>6X:1;0x 43?281/=8951:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e:=81<7=50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8657:&212<03twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:9548;%363?147?4$074>4=zutw0qo<;e;296?6=8r.2h7?i;I;3?l30290/5i4:7:9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a61b=8381<7>t$8f95c=O191b9:4?:%;g>01<3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{:7>52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm24`94?0=83:p(4j5539K=5=#>10:<6g:7;29 1]5k4>{Mc2>4}Q>009w)66:458R07=:r.:954>;%363?7:18'=a<592\2j7?tL`395~P1138p(575209U14<5s-;>47?4$074>4=zutw0e?<50;&:`?453_3m6?uCa082S0>2;q/444=2:T65?4|,8?36i5+1459`>{zuEk;69uY8b80S422;q/=885239'503=9<20q[<9:3y'500==>1/=8;514:8y!4e83k0q[6l:2yU60<5s-;>:7<=;%361?7202w]>;4={%362?303-;>97?:7:'6g6=i2w]4n4<{W06>7}#9<<1>?5+147950>3897)?:5;36<>{#:k:1?6sr}:k;f?6=,0n14o5Y9g81Ig628q]:44={%::>=d<^<;1>v*>598e?!72?3l0qpsCa182S?42;q]>84={%362?>e3-;>97?:8:'6g6=m2wvq6g7a;29 4}Q>009w)66:9c8R07=:r.:954i;%363?`{W;0>7}Q:<09w)?:6;:b?!72=3;>46s+2c29a>{zu2e3>7>5$8f9<7=<7>53;294~">l33<7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm24394?7=83:p(4j5a59K=5=h0;0;6)7k:908?xd5=:0;6>4?:1y'=a<6l2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn?;;:187>5<7s-3o6=83.2h7;8;I;`?S?a28qGm<4>{W04>7}#000>46X:1;0x 43?2=1/=8954:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47l4$074>g=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21n6*>568a?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th99?4?:583>5}#1m03=6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm25d94?5=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=?2.:9:48;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<03-;>;794}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2B2o6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<212\>=7f=#9<=1o6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9g>"6=>0h7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`11=<72>0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:9547;%363?>47m4$074>f=zutw0e;>50;&:`?303A3h7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:b9'501=k2wvqp5f6083>!?c2<=0Z4h51zNb5?7|^;=1>v*79;42?S362;q/=865c:&2121C5n5Y9g82Ig628q]>:4={%::>34<^<;1>v*>598`?!72?3i0qpsr;h40>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb37:>5<4290;w)7k:938L<6{W04>7}#000>46X:1;0x 43?281/=8951:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=92.:9:4>;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xdf=3:1>7>50z&:`?7a3A3;7d;8:18'=a<2?21d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wimn4?:383>5}#1m0:j6F60:k63?6=,0n19:54o9094?">l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rbc094?0=83:p(4j5539K=5=#>10:<6g:7;29 1]5k4>{Mc2>4}Q>009w)66:458R07=:r.:954>;%363?7:18'=a<592\2j7?tL`395~P1138p(575209U14<5s-;>47?4$074>4=zutw0e?<50;&:`?453_3m6?uCa082S0>2;q/444=2:T65?4|,8?36i5+1459`>{zuEk;69uY8b80S422;q/=885239'503=9<20q[<9:3y'500==>1/=8;514:8y!4e83k0q[6l:2yU60<5s-;>:7<=;%361?7202w]>;4={%362?303-;>97?:7:'6g6=i2w]4n4<{W06>7}#9<<1>?5+147950>3897)?:5;36<>{#:k:1?6sr}:k;f?6=,0n14o5Y9g81Ig628q]:44={%::>=d<^<;1>v*>598e?!72?3l0qpsCa182S?42;q]>84={%362?>e3-;>97?:8:'6g6=m2wvq6g7a;29 4}Q>009w)66:9c8R07=:r.:954i;%363?`{W;0>7}Q:<09w)?:6;:b?!72=3;>46s+2c29a>{zu2e3>7>5$8f9<7=4i4:94?">l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<202\>=74=#9<=1=6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:95>"6=>0:7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`b=zjhk1<7=50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8651:&212<63twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954>;%363?747?4$074>4=zutw0qoom:180>5<7s-3o6=83.2h7;8;I;`?S?a28qGm<4>{W04>7}#000>46X:1;0x 43?2=1/=8954:~yx=n=00;6)7k:458L7}#9<21n6*>568a?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3thj57>53;294~">l32:7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sma783>6<729q/5i4>d:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<03-;>;794}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~fdb=83>1<7>t$8f95a=O191b954?:%;g>01<@0i0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8658:&2121C5n5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598`?!72?3i0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb`g94?2=83:p(4j51e9K=5=n=10;6)7k:458L7}#9<21o6*>568`?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10h7)?:7;a8yx{z3`<;6=4+9e863>P>n3;p@l?51zT13?4|,131:=5Y5081!7203i0(<;8:b9~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zjhl1<7950;2x !?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:9<>"6=>037psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598`?!72?3i0qpsr;h43>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<<192\>=7f=#9<=1o6sr}|9j27<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13<97[;>:3y'50>=k2.:9:4l;|~y>o1;3:1(4j5569U=c<6sEk:6>2?90Z8?52z&21=;7m4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%36=7<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21=6*>5682?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10:7)?:7;38yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{57>52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm14g94?4=83:p(4j51g9K=5=n=>0;6)7k:458?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?353A3;7)87:028m01=83.2h7;8;W;e>4}Ki80:w[86:3y'<<<2?2\>=74=#9<=1=6sr}|9j64<72-3o6??4V8d95~Jf93;pZ;752z&;=?463_?:6?u+14:95>"6=>0:7psr}:k16?6=,0n1>?5Y9g81Ig628q]:44={%::>74<^<;1>v*>598g?!72?3n0qpsCa187S>d2:q]>84={%362?453-;>97?:8:U63<5s-;>:7;8;%361?7202w/>o>5a:U6?u+144967=#97}#9<<19:5+14795016}Q:<09w)?:6;01?!72=3;>46sY2781!72>38:7)?:5;36<>{#:k:1>6sY8981S422;q/=885239'503=9<20q){W4:>7}#0003n6X:1;0x 43?2o1/=895f:~yIg728q]5>4={W06>7}#9<<14o5+147950>7}Ki80:w[86:3y'<<=7c=#9<=1j6sr}Mc3>4}Q1:09w[<::3y'500=0h1/=8;514:8y!4e83o0qps4o9094?">l32976sm14`94?5=83:p(4j5969K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<63-;>;7?4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?g33A3;7b6=:18'=a5<7s-3o6=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=71=#9<=186sr}|9j1<<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd6=h0;6>4?:1y'=a<6l2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:9548;%363?14794$074>2=zutw0c5<50;&:`?>53_3m6{zut1vn<;i:187>5<7s-3o6=83.2h7;8;I;`?S?a28qGm<4>{W04>7}#000>46X:1;0x 43?211/=8958:~yx=n=00;6)7k:458L7}#9<21o6*>568`?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10h7)?:7;a8yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{54;294~">l3;o7E7?;h7;>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=7f=#9<=1o6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9g>"6=>0h7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`224<72>0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:9547;%363?>47m4$074>f=zutw0e;>50;&:`?303A3h7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:b9'501=k2wvqp5f6083>!?c2<=0Z4h51zNb5?7|^;=1>v*79;42?S362;q/=865c:&2121C5n5Y9g82Ig628q]>:4={%::>34<^<;1>v*>598`?!72?3i0qpsr;h40>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb041>5<3290;w)7k:938L<6{W04>7}#000>46X:1;0x 43?281/=8951:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=92.:9:4>;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=<63-;>;7?4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%363:1>7>50z&:`?7a3A3;7d;8:18'=a<2?21d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi>lh50;194?6|,0n15:5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:95>"6=>0:7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>5986?!72?3?0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;73:14i4594?">l3?<7[7i:0yOe4<6s_<26?u+88863>P2938p(<;7:09'501=92wvqp5f2083>!?c2;;0Z4h51zNb5?7|^?31>v*79;02?S362;q/=8651:&212<63twvq6g=2;29 4}Q>009w)66:308R07=:r.:954k;%363?b6}Q:<09w)?:6;01?!72=3;>46sY2781!72>3?<7)?:5;36<>{#:k:1m6sY8b80S422;q/=885239'503=9<20q[<9:3y'500==>1/=8;51458y!4e83k0q[6l:2yU60<5s-;>:7<=;%361?7202w]>;4={%362?463-;>97?:8:'6g6=:2w]454={W06>7}#9<<1>?5+147950>7}Ki80:w[86:3y'<<=7c=#9<=1j6sr}Mc3>4}Q1:09w[<::3y'500=0k1/=8;514:8y!4e83o0qps4i9c94?">l32j7[7i:3yOe4<6s_<26?u+888;e>P2938p(<;7:g9'501=n2wvqAo?:0yU=6<5s_8>6?u+1449532wi>l650;194?6|,0n15:5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:95>"6=>0:7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>5982?!72?3;0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;7=zj;ki6=4::183!?c28n0D4>4i4:94?">l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<202\>=7g=#9<=1n6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:9f>"6=>0i7psr}:k54?6=,0n19:5G9b9U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?l06290/5i4:7:J:g>P>n3;p@l?51zT13?4|,131:<5Y5081!7203h0(<;8:c9~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj;kh6=48:183!?c28n0D4>4i4:94?">l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<202\>=71=#9<=186sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:9f>"6=>0i7psr}:k54?6=,0n19:5G9b9U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?l06290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>81]9<4={%36{W04>7}#000=>6X:1;0x 43?2k1/=895b:~yx=n>:0;6)7k:458R<`=9rFj=7?tV3596~"?13<87[;>:3y'50>=j2.:9:4m;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd5ih0;6>4?:1y'=a1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn?o8:180>5<7s-3o6=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=72=#9<=1;6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:93>"6=>0<7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`11f<72;0;6=u+9e82b>N>82c>;7>5$8f912={W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj;4i4594?">l3?<76a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?76<729q/5i467:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<63-;>;7?4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f70>290=6=4?{%;g>04<@0:0(;65119j12<72-3o6894V8d95~Jf93;pZ;752z&;=?303_?:6?u+14:95>"6=>0:7psr}:k15?6=,0n1><5Y9g82Ig628q]:44={%::>77<^<;1>v*>5982?!72?3;0qpsr;h01>5<#1m09>6X6f;0xHd7=9r\=5774<,8?>6<;7;|T12?4|,8?=6894$076>43?3t.9n=4n;|T;g?5|^;?1>v*>57816>"6=<0:955rV3496~"6=?0>;6*>548212=z,;h;6l5rV9a97~P5=38p(<;9:308 43228?37pX=6;0x 4312;;0(<;::07;?x"5j9097pX78;0xR73=:r.:9;4=2:&210<6=11v(?l?:29~yx=n0k0;6)7k:9`8R<`=:rFj=7?tV7;96~"?132i7[;>:3y'50>=n2.:9:4i;|~Hd6=9r\2?754821==z,;h;6h5r}|9jf3_?:6?u+14:9b>"6=>0m7psrL`295~P>;38pZ?;52z&213599~ 7d72l1vqp5`8383>!?c21807pl=5d83>6<729q/5i467:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<63-;>;7?4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f73a290:6=4?{%;g>d2<@0:0c5<50;&:`?>532wi>;?50;194?6|,0n1=i5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:95>"6=>0:7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>5982?!72?3;0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;73:1N>k2\2j7?tL`395~P5?38p(575599U14<5s-;>47l4$074>g=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21n6*>568a?x{zu2c==7>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:738R07=:r.:954m;%363?d47l4$074>g=zutw0c5<50;&:`?>53_3m6{zut1vn?8<:1825?6=8r.2h7?k;I;3?l3?290/5i4:7:J:g>P>n3;p@l?51zT13?4|,131955Y5081!7203>0(<;8:59~yx{{W04>7}#000>56X:1;0x 43?2k1/=895b:~yx=n>90;6)7k:458L7}#9<21n6*>568a?x{zu2c==7>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:738R07=:r.:954m;%363?d47l4$074>g=zutw0e;=50;&:`?303_3m6{zut1b:94?:%;g>01<^0l1=vBn1;3xR71=:r.3578;;W72>7}#9<21n6*>568a?x{zu2c=97>5$8f912=Q1o0:wAo>:0yU62<5s-226;;4V4396~"6=10i7)?:7;`8yx{z3`<=6=4+9e863>P>n3;p@l?51zT13?4|,131:;5Y5081!7203h0(<;8:c9~yx{{W04>7}#000=;6X:1;0x 43?281/=8951:~yx=n=h0;6)7k:458R<`=9rFj=7?tV3596~"?13?j7[;>:3y'50>=92.:9:4>;|~y>o2j3:1(4j5569U=c<6sEk:6>2;7?4}|~?l3d290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==j1]9<4={%364}Ki80:w[<8:3y'<<<2l2\>=74=#9<=1=6sr}|9j1`<72-3o6894V8d95~Jf93;pZ?952z&;=?3b3_?:6?u+14:95>"6=>0:7psr}:k6b?6=,0n19:5Y9g82Ig628q]>:4={%::>0`<^<;1>v*>5982?!72?3;0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;7P>n3;p@l?51zT13?4|,131955Y5081!7203;0(<;8:09~yx{{W04>7}#000>56X:1;0x 43?281/=8951:~yx=n>90;6)7k:458R<`=9rFj=7?tV3596~"?13<;7[;>:3y'50>=92.:9:4>;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd5=m0;6>4?:1y'=a<6l2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:9548;%363?14794$074>2=zutw0c5<50;&:`?>53_3m6{zut1vn?8::187>5<7s-3o6=83.2h7;8;I;`?S?a28qGm<4>{W04>7}#000>46X:1;0x 43?2j1/=895c:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=k2.:9:4l;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7m4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%363:197>50z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>4764$074>==zutw0e8750;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:b9'501=k2wvqp5f6183>!?c2<=0Z4h51zNb5?7|^;=1>v*79;43?S362;q/=865c:&2121]5k4>{Mc2>4}Q:>09w)66:738R07=:r.:954l;%363?e47?4$074>4=zutw0qo<97;297?6=8r.2h76>;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=74=#9<=1=6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a63d=8381<7>t$8f95c=O191b9:4?:%;g>01<3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm26594?5=83:p(4j5969K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<23-;>;7;4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%363:1:7>50z&:`?353A3;7)87:028m01=83.2h7;8;W;e>4}Ki80:w[86:3y'<<<2?2\>=74=#9<=1=6sr}|9j64<72-3o6??4V8d95~Jf93;pZ;752z&;=?463_?:6?u+14:95>"6=>0:7psr}:k16?6=,0n1>?5Y9g81Ig628q]:44={%::>74<^<;1>v*>598g?!72?3n0qpsCa187S>d2:q]>84={%362?453-;>97?:8:U63<5s-;>:7;8;%361?7202w/>o>5a:U6?u+144967=#97}#9<<19:5+14795016}Q:<09w)?:6;01?!72=3;>46sY2781!72>38:7)?:5;36<>{#:k:1>6sY8981S422;q/=885239'503=9<20q){W4:>7}#0003n6X:1;0x 43?2o1/=895f:~yIg728q]5>4={W06>7}#9<<14o5+147950>7}Ki80:w[86:3y'<<=7c=#9<=1j6sr}Mc3>4}Q1:09w[<::3y'500=0h1/=8;514:8y!4e83o0qps4o9094?">l32976sm27f94?5=83:p(4j5969K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<63-;>;7?4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?g33A3;7b6=:18'=a5<7s-3o6=83.2h7;8;I;`?S?a28qGm<4>{W04>7}#000>46X:1;0x 43?2k1/=895b:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?l06290/5i4:7:J:g>P>n3;p@l?51zT13?4|,131:<5Y5081!7203h0(<;8:c9~yx{{W04>7}#000=>6X:1;0x 43?2k1/=895b:~yx=n>:0;6)7k:458R<`=9rFj=7?tV3596~"?13<87[;>:3y'50>=j2.:9:4m;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd5?80;6!?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:90>"6=>0?7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%36{W04>7}#000==6X:1;0x 43?2k1/=895b:~yx=n>;0;6)7k:458R<`=9rFj=7?tV3596~"?13<97[;>:3y'50>=j2.:9:4m;|~y>o1;3:1(4j5569U=c<6sEk:6>2?90Z8?52z&21=;7l4}|~?l03290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>=1]9<4={%364}Ki80:w[<8:3y'<<<1=2\>=7g=#9<=1n6sr}|9j23<72-3o6894V8d95~Jf93;pZ?952z&;=?013_?:6?u+14:9f>"6=>0i7psr}:k53?6=,0n19:5Y9g82Ig628q]>:4={%::>31<^<;1>v*>5982?!72?3;0qpsr;h7b>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886f>P2938p(<;7:09'501=92wvqp5f5b83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7`?S362;q/=8651:&212<63twvq6g:d;29 1]5k4>{Mc2>4}Q:>09w)66:4f8R07=:r.:954>;%363?747?4$074>4=zutw0e8h50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi>:<50;32>5<7s-3o6=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=7g=#9<=1n6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:9f>"6=>0i7psr}:k54?6=,0n19:5Y9g82Ig628q]>:4={%::>36<^<;1>v*>598a?!72?3h0qpsr;h42>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?=>81]9<4={%364}Ki80:w[<8:3y'<<<1:2\>=7g=#9<=1n6sr}|9j26<72-3o6894V8d95~Jf93;pZ?952z&;=?043_?:6?u+14:9f>"6=>0i7psr}:k50?6=,0n19:5Y9g82Ig628q]>:4={%::>32<^<;1>v*>598a?!72?3h0qpsr;h46>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88852>P2938p(<;7:c9'501=j2wvqp5f6683>!?c2<=0Z4h51zNb5?7|^;=1>v*79;44?S362;q/=8651:&212<63twvq6g:a;29 1]5k4>{Mc2>4}Q:>09w)66:4c8R07=:r.:954>;%363?747?4$074>4=zutw0e8m50;&:`?303_3m6{zut1b9i4?:%;g>01<^0l1=vBn1;3xR71=:r.357;k;W72>7}#9<21=6*>5682?x{zu2c>i7>5$8f912=Q1o0:wAo>:0yU62<5s-2268k4V4396~"6=10:7)?:7;38yx{z3`?m6=4+9e863>P>n3;p@l?51zT13?4|,1319k5Y5081!7203;0(<;8:09~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj;4i4:94?">l3?<7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:09'501=92wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=8651:&212<63twvq6g90;29 1]5k4>{Mc2>4}Q:>09w)66:728R07=:r.:954>;%363?747?4$074>4=zutw0qo<9c;297?6=8r.2h7?k;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=72=#9<=1;6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a622=83;:6=4?{%;g>4b<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21o6*>568`?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10h7)?:7;a8yx{z3`<:6=4+9e863>N>k2\2j7?tL`395~P5?38p(575609U14<5s-;>47m4$074>f=zutw0e;<50;&:`?303_3m6{zut1b:>4?:%;g>01<^0l1=vBn1;3xR71=:r.3578<;W72>7}#9<21o6*>568`?x{zu2c=87>5$8f912=Q1o0:wAo>:0yU62<5s-226;:4V4396~"6=10h7)?:7;a8yx{z3`<>6=4+9e863>P>n3;p@l?51zT13?4|,131:85Y5081!7203i0(<;8:b9~yx{{W04>7}#000=:6X:1;0x 43?2j1/=895c:~yx=n>>0;6)7k:458R<`=9rFj=7?tV3596~"?13<<7[;>:3y'50>=92.:9:4>;|~y>o2i3:1(4j5569U=c<6sEk:6>2;7?4}|~?l3e290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==k1]9<4={%364}Ki80:w[<8:3y'<<<2k2\>=74=#9<=1=6sr}|9j1a<72-3o6894V8d95~Jf93;pZ?952z&;=?3c3_?:6?u+14:95>"6=>0:7psr}:k6a?6=,0n19:5Y9g82Ig628q]>:4={%::>0c<^<;1>v*>5982?!72?3;0qpsr;h7e>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb35;>5<5290;w)7k:0d8L<6i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd50;0;6>4?:1y'=a<>?2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747;4$074>0=zutw0c5<50;&:`?>53_3m6{zut1vn?6>:185>5<7s-3o68<4H828 3>=991b9:4?:%;g>01<^0l1=vBn1;3xR3?=:r.357;8;W72>7}#9<21=6*>5682?x{zu2c9=7>5$8f964=Q1o0:wAo>:0yU2<<5s-226??4V4396~"6=10:7)?:7;38yx{z3`896=4+9e816>P>n38p@l?51zT5=?4|,131>?5Y5081!7203n0(<;8:e9~yxJf83>pZ5m53zT11?4|,8?=6?<4$076>43?3t\9:701<,8?>6<;7;|&1f56*>54821==z^;<1>v*>57863>"6=<0:9:5r$3`3>d=z^1i1?vX=5;0x 4312;80(<;::07;?xP5>38p(<;9:338 43228?37p*=b181?xP?038pZ?;52z&213<5:2.:984>599~ 7d72:1vqp5f8c83>!?c21h0Z4h52zNb5?7|^?31>v*79;:a?S362;q/=865f:&21251zT:7?4|^;?1>v*>578;f>"6=<0:955r$3`3>`=zut1b4l4?:%;g>=g<^0l1>vBn1;3xR3?=:r.3576n;W72>7}#9<21j6*>568e?x{zDh:1=vX63;0xR73=:r.:9;47a:&210<6=11v(?l?:d9~yx=h0;0;6)7k:908?xd5?h0;6>4?:1y'=a<>?2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn?9m:182>5<7s-3o6l:4H828k=4=83.2h76=;:a62b=8321<7>t$8f95a=O191b954?:%;g>01<@0i0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954m;%363?d47l4$074>g=zutw0e;?50;&:`?303A3h7[7i:0yOe4<6s_8<6?u+88855>P2938p(<;7:c9'501=j2wvqp5f6383>!?c2<=0Z4h51zNb5?7|^;=1>v*79;41?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:718R07=:r.:954m;%363?d47l4$074>g=zutw0c5<50;&:`?>53_3m6{zut1vn?9j:1825?6=8r.2h7?k;I;3?l3?290/5i4:7:J:g>P>n3;p@l?51zT13?4|,131955Y5081!7203>0(<;8:59~yx{{W04>7}#000>56X:1;0x 43?2k1/=895b:~yx=n>90;6)7k:458L7}#9<21n6*>568a?x{zu2c==7>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:738R07=:r.:954m;%363?d47l4$074>g=zutw0e;=50;&:`?303_3m6{zut1b:94?:%;g>01<^0l1=vBn1;3xR71=:r.3578;;W72>7}#9<21n6*>568a?x{zu2c=97>5$8f912=Q1o0:wAo>:0yU62<5s-226;;4V4396~"6=10i7)?:7;`8yx{z3`<=6=4+9e863>P>n3;p@l?51zT13?4|,131:;5Y5081!7203h0(<;8:c9~yx{{W04>7}#000=;6X:1;0x 43?281/=8951:~yx=n=h0;6)7k:458R<`=9rFj=7?tV3596~"?13?j7[;>:3y'50>=92.:9:4>;|~y>o2j3:1(4j5569U=c<6sEk:6>2;7?4}|~?l3d290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==j1]9<4={%364}Ki80:w[<8:3y'<<<2l2\>=74=#9<=1=6sr}|9j1`<72-3o6894V8d95~Jf93;pZ?952z&;=?3b3_?:6?u+14:95>"6=>0:7psr}:k6b?6=,0n19:5Y9g82Ig628q]>:4={%::>0`<^<;1>v*>5982?!72?3;0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;7N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10i7)?:7;`8yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203h0(<;8:c9~yx{{W04>7}#000=<6X:1;0x 43?2k1/=895b:~yx=n>80;6)7k:458L;W72>7}#9<21n6*>568a?x{zu2c=>7>5$8f912=Q1o0:wAo>:0yU62<5s-226;<4V4396~"6=10i7)?:7;`8yx{z3`<86=4+9e863>P>n3;p@l?51zT13?4|,131:>5Y5081!7203h0(<;8:c9~yx{1<7*6d;74?S?a28qGm<4>{W04>7}#000=86X:1;0x 43?2k1/=895b:~yx=n><0;6)7k:458R<`=9rFj=7?tV3596~"?13<>7[;>:3y'50>=j2.:9:4m;|~y>o1>3:1(4j5569U=c<6sEk:6>2?<0Z8?52z&21=;7l4}|~?l00290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>>1]9<4={%364}Ki80:w[<8:3y'<<<2i2\>=74=#9<=1=6sr}|9j1g<72-3o6894V8d95~Jf93;pZ?952z&;=?3e3_?:6?u+14:95>"6=>0:7psr}:k6g?6=,0n19:5Y9g82Ig628q]>:4={%::>0e<^<;1>v*>5982?!72?3;0qpsr;h7g>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886a>P2938p(<;7:09'501=92wvqp5f5g83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7e?S362;q/=8651:&212<63twvq6a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?71<729q/5i471:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<63-;>;7?4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=74=#9<=1=6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a62?=8391<7>t$8f95a=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21;6*>5684?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10<7)?:7;58yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm29f94?5=83:p(4j5969K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<23-;>;7;4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?353A3;7)87:028m01=83.2h7;8;W;e>4}Ki80:w[86:3y'<<<2?2\>=74=#9<=1=6sr}|9j64<72-3o6??4V8d95~Jf93;pZ;752z&;=?463_?:6?u+14:95>"6=>0:7psr}:k16?6=,0n1>?5Y9g81Ig628q]:44={%::>74<^<;1>v*>598g?!72?3n0qpsCa187S>d2:q]>84={%362?453-;>97?:8:U63<5s-;>:7;8;%361?7202w/>o>5a:U6?u+144967=#97}#9<<19:5+14795016}Q:<09w)?:6;01?!72=3;>46sY2781!72>38:7)?:5;36<>{#:k:1>6sY8981S422;q/=885239'503=9<20q){W4:>7}#0003n6X:1;0x 43?2o1/=895f:~yIg728q]5>4={W06>7}#9<<14o5+147950>7}Ki80:w[86:3y'<<=7c=#9<=1j6sr}Mc3>4}Q1:09w[<::3y'500=0h1/=8;514:8y!4e83o0qps4o9094?">l32976sm29794?5=83:p(4j5969K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<63-;>;7?4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%363:1=7>50z&:`?g33A3;7b6=:18'=a5<7s-3o6=83.2h7;8;I;`?S?a28qGm<4>{W04>7}#000>46X:1;0x 43?2k1/=895b:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?l06290/5i4:7:J:g>P>n3;p@l?51zT13?4|,131:<5Y5081!7203h0(<;8:c9~yx{{W04>7}#000=>6X:1;0x 43?2k1/=895b:~yx=n>:0;6)7k:458R<`=9rFj=7?tV3596~"?13<87[;>:3y'50>=j2.:9:4m;|~y>o1<3:1(4j5569U=c<6sEk:6>2?>0Z8?52z&21=;7l4}|~?l02290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=><1]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f7>>290:=7>50z&:`?7c3A3;7d;7:18'=a<2?2B2o6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:c9'501=j2wvqp5f6183>!?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9f>"6=>0i7psr}:k55?6=,0n19:5G9b9U=c<6sEk:6>2?;0Z8?52z&21=;7l4}|~?l05290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>;1]9<4={%364}Ki80:w[<8:3y'<<<1;2\>=7g=#9<=1n6sr}|9j21<72-3o6894V8d95~Jf93;pZ?952z&;=?033_?:6?u+14:9f>"6=>0i7psr}:k51?6=,0n19:5Y9g82Ig628q]>:4={%::>33<^<;1>v*>598a?!72?3h0qpsr;h45>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88853>P2938p(<;7:09'501=92wvqp5f5`83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7b?S362;q/=8651:&212<63twvq6g:b;29 1]5k4>{Mc2>4}Q:>09w)66:4`8R07=:r.:954>;%363?747?4$074>4=zutw0e8j50;&:`?303_3m6{zut1b9h4?:%;g>01<^0l1=vBn1;3xR71=:r.357;j;W72>7}#9<21=6*>5682?x{zu2c>j7>5$8f912=Q1o0:wAo>:0yU62<5s-2268h4V4396~"6=10:7)?:7;38yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{51083>5}#1m0:h6F60:k6:4={%::>0><^<;1>v*>598a?!72?3h0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:c9'501=j2wvqp5f6083>!?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?063_?:6?u+14:9f>"6=>0i7psr}:k56?6=,0n19:5Y9g82Ig628q]>:4={%::>34<^<;1>v*>598a?!72?3h0qpsr;h40>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88850>P2938p(<;7:c9'501=j2wvqp5f6483>!?c2<=0Z4h51zNb5?7|^;=1>v*79;46?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:748R07=:r.:954m;%363?d47?4$074>4=zutw0e8o50;&:`?303_3m6{zut1b9o4?:%;g>01<^0l1=vBn1;3xR71=:r.357;m;W72>7}#9<21=6*>5682?x{zu2c>o7>5$8f912=Q1o0:wAo>:0yU62<5s-2268m4V4396~"6=10:7)?:7;38yx{z3`?o6=4+9e863>P>n3;p@l?51zT13?4|,1319i5Y5081!7203;0(<;8:09~yx{{W04>7}#000>i6X:1;0x 43?281/=8951:~yx=n=o0;6)7k:458R<`=9rFj=7?tV3596~"?13?m7[;>:3y'50>=92.:9:4>;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd50>0;694?:1y'=a1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747?4$074>4=zutw0e;>50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi>5:50;194?6|,0n1=i5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:93>"6=>0<7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>5984?!72?3=0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;7=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e9l:1<7<50;2x !?c2<=07b6=:18'=a47?4$074>4=zutw0qo?j5;292?6=8r.2h7;=;I;3?!0?28:0e8950;&:`?303_3m62;q/444:7:T65?4|,8?36<5+14595>{zut1b><4?:%;g>77<^0l1=vBn1;3xR3?=:r.357<>;W72>7}#9<21=6*>5682?x{zu2c9>7>5$8f967=Q1o09wAo>:0yU2<<5s-226?<4V4396~"6=10o7)?:7;f8yx{Ki90?w[6l:2yU60<5s-;>:7<=;%361?7202w]>;4={%362?303-;>97?:8:'6g6=i2w]4n4<{W06>7}#9<<1>?5+147950>uY2481!72>3897)?:5;36<>{Q:?09w)?:6;02?!72=3;>46s+2c296>{Q0109w[<::3y'500=:;1/=8;514:8y!4e8390qps4i9`94?">l32i7[7i:3yOe4<6s_<26?u+888;f>P2938p(<;7:g9'501=n2wvqAo?:0yU=6<5s_8>6?u+1449f3_3m6?uCa082S0>2;q/4447a:T65?4|,8?36k5+1459b>{zuEk;6{e9mk1<7=50;2x 1C5=5f5983>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8651:&212<63twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954>;%363?747?4$074>4=zutw0qo?kb;295?6=8r.2h7o;;I;3?j>5290/5i472:9~f4bc29086=4?{%;g>4b<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21=6*>5682?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:hh4?:283>5}#1m0:h6F60:k6:4={%::>0><^<;1>v*>598a?!72?3h0qpsr;h7:>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f4ba29086=4?{%;g>4b<@0:0e8650;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:c9'501=j2wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865b:&212{Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?7db83>1<729q/5i471:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<63-;>;7?4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=74=#9<=1=6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a5a?=8391<7>t$8f95a=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21;6*>5684?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10<7)?:7;58yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{55;294~">l3;o7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<212\>=7f=#9<=1o6sr}|9j25<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13<;7[;>:3y'50>=k2.:9:4l;|~y>o193:1(4j5569U=c<6sEk:6>2?;0Z8?52z&21=;7m4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2B2o6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:b9'501=k2wvqp5f6183>!?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9g>"6=>0h7psr}:k55?6=,0n19:5Y9g82Ig628q]>:4={%::>37<^<;1>v*>598`?!72?3i0qpsr;h41>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?=>;1]9<4={%364}Ki80:w[<8:3y'<<<1;2\>=7f=#9<=1o6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a5`5=8391<7>t$8f9<4=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21=6*>5682?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10:7)?:7;38yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm1g394?0=83:p(4j5539K=5=#>10:<6g:7;29 1]5k4>{Mc2>4}Q>009w)66:458R07=:r.:954>;%363?7:18'=a<592\2j7?tL`395~P1138p(575209U14<5s-;>47?4$074>4=zutw0e?<50;&:`?453_3m6?uCa082S0>2;q/444=2:T65?4|,8?36i5+1459`>{zuEk;69uY8b80S422;q/=885239'503=9<20q[<9:3y'500==>1/=8;514:8y!4e83k0q[6l:2yU60<5s-;>:7<=;%361?7202w]>;4={%362?303-;>97?:7:'6g6=i2w]4n4<{W06>7}#9<<1>?5+147950>3897)?:5;36<>{#:k:1?6sr}:k;f?6=,0n14o5Y9g81Ig628q]:44={%::>=d<^<;1>v*>598e?!72?3l0qpsCa182S?42;q]>84={%362?>e3-;>97?:8:'6g6=m2wvq6g7a;29 4}Q>009w)66:9c8R07=:r.:954i;%363?`{W;0>7}Q:<09w)?:6;:b?!72=3;>46s+2c29a>{zu2e3>7>5$8f9<7=53;294~">l33<7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm1d;94?7=83:p(4j5a59K=5=h0;0;6)7k:908?xd6mk0;6>4?:1y'=a<6l2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn5<7s-3o6=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=7g=#9<=1n6sr}|9j1<<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2B2o6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<212\>=7g=#9<=1n6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9f>"6=>0i7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`2a`<72<0;6=u+9e82`>N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10?7)?:7;68yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203h0(<;8:c9~yx{{zut1b:<4?:%;g>01<^0l1=vBn1;3xR71=:r.3578>;W72>7}#9<21n6*>568a?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:ik4?:483>5}#1m0:h6F60:k6:4={%::>0><^<;1>v*>5987?!72?3>0qpsr;h7:>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%36{W04>7}#000=<6X:1;0x 43?2k1/=895b:~yx=n>80;6)7k:458R<`=9rFj=7?tV3596~"?13<:7[;>:3y'50>=j2.:9:4m;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd6mh0;6;4?:1y'=a1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747?4$074>4=zutw0e;>50;&:`?303_3m6{zut1b:<4?:%;g>01<^0l1=vBn1;3xR71=:r.3578>;W72>7}#9<21=6*>5682?x{zu2c=>7>5$8f912=Q1o0:wAo>:0yU62<5s-226;<4V4396~"6=10:7)?:7;38yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{53;294~">l3;o7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;71vqps4i4;94?">l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:69'501=?2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm1g094?4=83:p(4j51g9K=5=n=>0;6)7k:458?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%367>50z&:`?7a3A3;7d;8:18'=a<2?21d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=kk50;494?6|,0n19?5G919'2=<682c>;7>5$8f912=Q1o0:wAo>:0yU2<<5s-226894V4396~"6=10:7)?:7;38yx{z3`8:6=4+9e815>P>n3;p@l?51zT5=?4|,131><5Y5081!7203;0(<;8:09~yx{{W4:>7}#0009>6X:1;0x 43?2m1/=895d:~yIg72=q]4n4<{W06>7}#9<<1>?5+147950>uY2481!72>3897)?:5;36<>{Q:?09w)?:6;74?!72=3;>;6s+2c29e>{Q0j08w[<::3y'500=:;1/=8;514:8yS412;q/=885209'503=9<20q)?2;q]>84={%362?453-;>97?:8:'6g6=;2wvq6g7b;29 4}Q>009w)66:9`8R07=:r.:954i;%363?`{W;0>7}Q:<09w)?:6;:a?!72=3;>46s+2c29a>{zu2c3m7>5$8f9:0yU2<<5s-2265o4V4396~"6=10m7)?:7;d8yx{Ki90:w[7<:3yU60<5s-;>:76n;%361?7202w/>o>5e:~y>i?:3:1(4j58398yg7a<3:1?7>50z&:`??03A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=k;50;394?6|,0n1m95G919l<7<72-3o65<4;|`2b2<72:0;6=u+9e82`>N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10:7)?:7;38yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203;0(<;8:09~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj8l36=4<:183!?c28n0D4>4i4:94?">l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<202\>=71=#9<=186sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:9f>"6=>0i7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`2b<<72:0;6=u+9e82`>N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10i7)?:7;`8yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203h0(<;8:c9~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj8lj6=4<:183!?c28n0D4>4i4:94?">l3?<7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:c9'501=j2wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865b:&212{Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?7f783>0<729q/5i471:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<63-;>;7?4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=74=#9<=1=6sr}|9j24<72-3o6894V8d95~Jf93;pZ?952z&;=?063_?:6?u+14:95>"6=>0:7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`2b6<72:0;6=u+9e82`>N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10<7)?:7;58yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203=0(<;8:69~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj8lh6=48:183!?c28n0D4>4i4:94?">l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<202\>=7==#9<=146sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:9g>"6=>0h7psr}:k54?6=,0n19:5G9b9U=c<6sEk:6>2?:0Z8?52z&21=;7m4}|~?l06290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>81]9<4={%36{W04>7}#000=>6X:1;0x 43?2j1/=895c:~yx=n>:0;6)7k:458R<`=9rFj=7?tV3596~"?13<87[;>:3y'50>=k2.:9:4l;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd50l0;6?4?:1y'=a<6n2B2<6g:7;29 10c5<50;&:`?>53_3m6{zut1vn?77:180>5<7s-3o6494H828m0>=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=74=#9<=1=6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:91>"6=>0>7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`1=2<72?0;6=u+9e866>N>82.=47??;h74>5<#1m0>;6X6f;3xHd7=9r\=57l38:7[7i:0yOe4<6s_<26?u+88815>P2938p(<;7:09'501=92wvqp5f2383>!?c2;80Z4h52zNb5?7|^?31>v*79;01?S362;q/=865d:&21254zT;g?5|^;?1>v*>57816>"6=<0:955rV3496~"6=?0>;6*>54821==z,;h;6l5rV9a97~P5=38p(<;9:308 43228?37pX=6;0x 4312<=0(<;::074?x"5j90j7pX7c;1xR73=:r.:9;4=2:&210<6=11vZ?852z&213<592.:984>599~ 7d72;1vZ5652zT11?4|,8?=6?<4$076>43?3t.9n=4<;|~?l>e290/5i47b:T:b?4|Dh;1=vX99;0x =?=0k1]9<4={%36vX=5;0x 43121h0(<;::07;?x"5j90n7psr;h:b>5<#1m03m6X6f;0xHd7=9r\=57=g<,8?>6<;7;|&1f55<7s-3o6494H828m0>=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=74=#9<=1=6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:95>"6=>0:7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`1=4<7280;6=u+9e8b0>N>82e3>7>5$8f9<7=51083>5}#1m0:h6F60:k6>2<20Z8?52z&21=<33-;>;7:4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%36{W04>7}#000=<6X:1;0x 43?2k1/=895b:~yx=n>80;6)7k:458L;W72>7}#9<21n6*>568a?x{zu2c=>7>5$8f912=Q1o0:wAo>:0yU62<5s-226;<4V4396~"6=10i7)?:7;`8yx{z3`<86=4+9e863>P>n3;p@l?51zT13?4|,131:>5Y5081!7203h0(<;8:c9~yx{1<7*6d;74?S?a28qGm<4>{W04>7}#000=86X:1;0x 43?2k1/=895b:~yx=n><0;6)7k:458R<`=9rFj=7?tV3596~"?13<>7[;>:3y'50>=j2.:9:4m;|~y>o1>3:1(4j5569U=c<6sEk:6>2?<0Z8?52z&21=;7l4}|~?l00290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>>1]9<4={%364}Ki80:w[<8:3y'<<<2i2\>=74=#9<=1=6sr}|9j1g<72-3o6894V8d95~Jf93;pZ?952z&;=?3e3_?:6?u+14:95>"6=>0:7psr}:k6g?6=,0n19:5Y9g82Ig628q]>:4={%::>0e<^<;1>v*>5982?!72?3;0qpsr;h7g>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886a>P2938p(<;7:09'501=92wvqp5f5g83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7e?S362;q/=8651:&212<63twvq6a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?747=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=j2.:9:4m;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=;7l4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%36{W04>7}#000==6X:1;0x 43?2k1/=895b:~yx=n>;0;6)7k:458R<`=9rFj=7?tV3596~"?13<97[;>:3y'50>=j2.:9:4m;|~y>o1;3:1(4j5569U=c<6sEk:6>2?90Z8?52z&21=;7l4}|~?l03290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>=1]9<4={%364}Ki80:w[<8:3y'<<<1=2\>=7g=#9<=1n6sr}|9j23<72-3o6894V8d95~Jf93;pZ?952z&;=?013_?:6?u+14:9f>"6=>0i7psr}:k53?6=,0n19:5Y9g82Ig628q]>:4={%::>31<^<;1>v*>5982?!72?3;0qpsr;h7b>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886f>P2938p(<;7:09'501=92wvqp5f5b83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7`?S362;q/=8651:&212<63twvq6g:d;29 1]5k4>{Mc2>4}Q:>09w)66:4f8R07=:r.:954>;%363?747?4$074>4=zutw0e8h50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi>4;50;32>5<7s-3o6=83.2h7;8;I;`?S?a28qGm<4>{W04>7}#000>46X:1;0x 43?2k1/=895b:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?l06290/5i4:7:J:g>P>n3;p@l?51zT13?4|,131:<5Y5081!7203h0(<;8:c9~yx{{W04>7}#000=>6X:1;0x 43?2k1/=895b:~yx=n>:0;6)7k:458R<`=9rFj=7?tV3596~"?13<87[;>:3y'50>=j2.:9:4m;|~y>o1<3:1(4j5569U=c<6sEk:6>2?>0Z8?52z&21=;7l4}|~?l02290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=><1]9<4={%364}Ki80:w[<8:3y'<<<1>2\>=7g=#9<=1n6sr}|9j22<72-3o6894V8d95~Jf93;pZ?952z&;=?003_?:6?u+14:95>"6=>0:7psr}:k6e?6=,0n19:5Y9g82Ig628q]>:4={%::>0g<^<;1>v*>5982?!72?3;0qpsr;h7a>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886g>P2938p(<;7:09'501=92wvqp5f5e83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7g?S362;q/=8651:&212<63twvq6g:e;29 1]5k4>{Mc2>4}Q:>09w)66:4g8R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn?7=:187>5<7s-3o65?4H828m0>=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=74=#9<=1=6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:95>"6=>0:7psr}:k54?6=,0n19:5Y9g82Ig628q]>:4={%::>36<^<;1>v*>5982?!72?3;0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;7P>n3;p@l?51zT13?4|,131955Y5081!7203=0(<;8:69~yx{{W04>7}#000>56X:1;0x 43?2>1/=8957:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e9031<7<50;2x !?c2<=07b6=:18'=a47?4$074>4=zutw0qo?n4;292?6=8r.2h7;=;I;3?!0?28:0e8950;&:`?303_3m62;q/444:7:T65?4|,8?36<5+14595>{zut1b><4?:%;g>77<^0l1=vBn1;3xR3?=:r.357<>;W72>7}#9<21=6*>5682?x{zu2c9>7>5$8f967=Q1o09wAo>:0yU2<<5s-226?<4V4396~"6=10o7)?:7;f8yx{Ki90?w[6l:2yU60<5s-;>:7<=;%361?7202w]>;4={%362?303-;>97?:8:'6g6=i2w]4n4<{W06>7}#9<<1>?5+147950>uY2481!72>3897)?:5;36<>{Q:?09w)?:6;02?!72=3;>46s+2c296>{Q0109w[<::3y'500=:;1/=8;514:8y!4e8390qps4i9`94?">l32i7[7i:3yOe4<6s_<26?u+888;f>P2938p(<;7:g9'501=n2wvqAo?:0yU=6<5s_8>6?u+1449f3_3m6?uCa082S0>2;q/4447a:T65?4|,8?36k5+1459b>{zuEk;6{e90h1<7=50;2x 1C5=5f5983>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8651:&212<63twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954>;%363?747?4$074>4=zutw0qo?6c;295?6=8r.2h7o;;I;3?j>5290/5i472:9~f4?b29086=4?{%;g>4b<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21=6*>5682?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:5k4?:583>5}#1m0:h6F60:k6:4={%::>0><^<;1>v*>5987?!72?3>0qpsr;h7:>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=7g=#9<=1n6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a5d6=83>1<7>t$8f95a=O191b954?:%;g>01<@0i0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8654:&212<33twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954m;%363?d47l4$074>g=zutw0c5<50;&:`?>53_3m6{zut1vn:187>5<7s-3o6=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=7g=#9<=1n6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:9f>"6=>0i7psr}:k54?6=,0n19:5Y9g82Ig628q]>:4={%::>36<^<;1>v*>598a?!72?3h0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;7N>k2\2j7?tL`395~P5?38p(575599U14<5s-;>47l4$074>g=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21n6*>568a?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:5i4?:783>5}#1m03=6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:09'501=92wvqp5f6083>!?c2<=0Z4h51zNb5?7|^;=1>v*79;42?S362;q/=8651:&212<63twvq6g92;29 1]5k4>{Mc2>4}Q:>09w)66:708R07=:r.:954>;%363?747?4$074>4=zutw0qo?6a;297?6=8r.2h7?k;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=72=#9<=1;6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a5d3=8381<7>t$8f95c=O191b9:4?:%;g>01<3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm1c094?0=83:p(4j5539K=5=#>10:<6g:7;29 1]5k4>{Mc2>4}Q>009w)66:458R07=:r.:954>;%363?7:18'=a<592\2j7?tL`395~P1138p(575209U14<5s-;>47?4$074>4=zutw0e?<50;&:`?453_3m6?uCa082S0>2;q/444=2:T65?4|,8?36i5+1459`>{zuEk;69uY8b80S422;q/=885239'503=9<20q[<9:3y'500==>1/=8;514:8y!4e83k0q[6l:2yU60<5s-;>:7<=;%361?7202w]>;4={%362?303-;>97?:7:'6g6=i2w]4n4<{W06>7}#9<<1>?5+147950>3897)?:5;36<>{#:k:1?6sr}:k;f?6=,0n14o5Y9g81Ig628q]:44={%::>=d<^<;1>v*>598e?!72?3l0qpsCa182S?42;q]>84={%362?>e3-;>97?:8:'6g6=m2wvq6g7a;29 4}Q>009w)66:9c8R07=:r.:954i;%363?`{W;0>7}Q:<09w)?:6;:b?!72=3;>46s+2c29a>{zu2e3>7>5$8f9<7=53;294~">l33<7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm1`:94?7=83:p(4j5a59K=5=h0;0;6)7k:908?xd6ih0;6>4?:1y'=a<6l2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn5<7s-3o6=83.2h7;8;I;`?S?a28qGm<4>{W04>7}#000>46X:1;0x 43?2=1/=8954:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47l4$074>g=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21n6*>568a?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:m44?:583>5}#1m03=6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm1`494?5=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=?2.:9:48;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<03-;>;794}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2B2o6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<212\>=7f=#9<=1o6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9g>"6=>0h7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`2ec<72>0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:9547;%363?>47m4$074>f=zutw0e;>50;&:`?303A3h7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:b9'501=k2wvqp5f6083>!?c2<=0Z4h51zNb5?7|^;=1>v*79;42?S362;q/=865c:&2121C5n5Y9g82Ig628q]>:4={%::>34<^<;1>v*>598`?!72?3i0qpsr;h40>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb0`3>5<4290;w)7k:938L<6{W04>7}#000>46X:1;0x 43?281/=8951:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=92.:9:4>;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd6j:0;6?4?:1y'=a<6n2B2<6g:7;29 10c5<50;&:`?>53_3m6{zut1vn5<7s-3o68<4H828 3>=991b9:4?:%;g>01<^0l1=vBn1;3xR3?=:r.357;8;W72>7}#9<21=6*>5682?x{zu2c9=7>5$8f964=Q1o0:wAo>:0yU2<<5s-226??4V4396~"6=10:7)?:7;38yx{z3`896=4+9e816>P>n38p@l?51zT5=?4|,131>?5Y5081!7203n0(<;8:e9~yxJf83>pZ5m53zT11?4|,8?=6?<4$076>43?3t\9:701<,8?>6<;7;|&1f56*>54821==z^;<1>v*>57863>"6=<0:9:5r$3`3>d=z^1i1?vX=5;0x 4312;80(<;::07;?xP5>38p(<;9:338 43228?37p*=b181?xP?038pZ?;52z&213<5:2.:984>599~ 7d72:1vqp5f8c83>!?c21h0Z4h52zNb5?7|^?31>v*79;:a?S362;q/=865f:&21251zT:7?4|^;?1>v*>578;f>"6=<0:955r$3`3>`=zut1b4l4?:%;g>=g<^0l1>vBn1;3xR3?=:r.3576n;W72>7}#9<21j6*>568e?x{zDh:1=vX63;0xR73=:r.:9;47a:&210<6=11v(?l?:d9~yx=h0;0;6)7k:908?xd6j<0;6>4?:1y'=a<>?2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn5<7s-3o6l:4H828k=4=83.2h76=;:a5g>=8391<7>t$8f95a=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21=6*>5682?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10:7)?:7;38yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{54;294~">l3;o7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<212\>=7g=#9<=1n6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9f>"6=>0i7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`2fd<72=0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954;;%363?247l4$074>g=zutw0e;>50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=ol50;694?6|,0n1=i5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:9f>"6=>0i7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb0``>5<3290;w)7k:0f8L<6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21n6*>568a?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10i7)?:7;`8yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{56;294~">l32:7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:09'501=92wvqp5f6183>!?c2<=0Z4h51zNb5?7|^;=1>v*79;43?S362;q/=8651:&212<63twvq6g91;29 1]5k4>{Mc2>4}Q:>09w)66:738R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn5<7s-3o6=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=72=#9<=1;6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:93>"6=>0<7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`2fc<72;0;6=u+9e82b>N>82c>;7>5$8f912={W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj8ij6=49:183!?c2<80D4>4$7:955=n=>0;6)7k:458R<`=9rFj=7?tV7;96~"?13?<7[;>:3y'50>=92.:9:4>;|~y>o593:1(4j5209U=c<6sEk:6>2;;0Z8?52z&21=<63-;>;7?4}|~?l45290/5i4=2:T:b?4|Dh;1=vX99;0x =?=:;1]9<4={%3638p(<;9:458 43228?37p*=b18b?xP?k39pZ?;52z&213<5:2.:984>599~R70=:r.:9;4:7:&210<6=>1v(?l?:`9~R=e=;r\99774<,8?>6<;7;|T12?4|,8?=6??4$076>43?3t.9n=4=;|T;v*>57816>"6=<0:955r$3`3>6=zut1b4o4?:%;g>=d<^0l1>vBn1;3xR3?=:r.3576m;W72>7}#9<21j6*>568e?x{zDh:1=vX63;0xR73=:r.:9;47b:&210<6=11v(?l?:d9~yx=n0h0;6)7k:9c8R<`=:rFj=7?tV7;96~"?132j7[;>:3y'50>=n2.:9:4i;|~Hd6=9r\2?754821==z,;h;6h5r}|9l<7<72-3o65<4;|`2g4<72:0;6=u+9e8:3>N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10:7)?:7;38yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203;0(<;8:09~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj8i96=4>:183!?c2h>0D4>4o9094?">l32976sm1b694?5=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<63-;>;7?4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2B2o6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:c9'501=j2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm1b494?5=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=j2.:9:4m;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2B2o6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:c9'501=j2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm1b:94?5=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=j2.:9:4m;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?>63A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21=6*>5682?x{zu2c==7>5$8f912=Q1o0:wAo>:0yU62<5s-226;?4V4396~"6=10:7)?:7;38yx{z3`<96=4+9e863>P>n3;p@l?51zT13?4|,131:?5Y5081!7203;0(<;8:09~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj8i;6=4<:183!?c28n0D4>4i4:94?">l3?<7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:69'501=?2wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=8657:&212<03twvq6a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?7cc83>7<729q/5i4>f:J:4>o2?3:1(4j55698k=4=83.2h76=;W;e>4}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f4b329096=4?{%;g>4`<@0:0e8950;&:`?3032e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:h:4?:783>5}#1m0>>6F60:&5P>n3;p@l?51zT5=?4|,1319:5Y5081!7203;0(<;8:09~yx{{W4:>7}#0009=6X:1;0x 43?281/=8951:~yx=n:;0;6)7k:308R<`=:rFj=7?tV7;96~"?13897[;>:3y'50>=l2.:9:4k;|~Hd6=6*>54821==z^;<1>v*>57863>"6=<0:955r$3`3>d=z^1i1?vX=5;0x 4312;80(<;::07;?xP5>38p(<;9:458 43228?<7p*=b18b?xP?k39pZ?;52z&213<5:2.:984>599~R70=:r.:9;4=1:&210<6=11v(?l?:39~R=>=:r\99774<,8?>6<;7;|&1f5<43twv7d6m:18'=a47h4$074>c=zutFj<7?tV8196~P5=38p(<;9:9`8 43228?37p*=b18f?x{z3`2j6=4+9e8;e>P>n38p@l?51zT5=?4|,1314l5Y5081!7203l0(<;8:g9~yxJf83;pZ4=52zT11?4|,8?=65o4$076>43?3t.9n=4j;|~?j>5290/5i472:9~f4ec29086=4?{%;g><1<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21=6*>5682?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:oh4?:083>5}#1m0j86F60:m;6?6=,0n14?54}c3g4?6=;3:1P>n3;p@l?51zT13?4|,131955Y5081!7203;0(<;8:09~yx{{W04>7}#000>56X:1;0x 43?281/=8951:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e9m;1<7:50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=865b:&2121C5n5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb0f1>5<3290;w)7k:0f8L<6{zut1b944?:%;g>01<@0i0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:728R07=:r.:954m;%363?d47?4$074>4=zutw0qo?k3;291?6=8r.2h7?k;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=7g=#9<=1n6sr}|9j25<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13<;7[;>:3y'50>=j2.:9:4m;|~y>o193:1(4j5569U=c<6sEk:6>2?;0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?>63A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21=6*>5682?x{zu2c==7>5$8f912=Q1o0:wAo>:0yU62<5s-226;?4V4396~"6=10:7)?:7;38yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{53;294~">l3;o7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;71vqps4i4;94?">l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:69'501=?2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm1e794?0=83:p(4j51e9K=5=n=10;6)7k:458L7}#9<2146*>568;?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10h7)?:7;a8yx{z3`<;6=4+9e863>N>k2\2j7?tL`395~P5?38p(575619U14<5s-;>47m4$074>f=zutw0e;?50;&:`?303_3m6{zut1b:?4?:%;g>01<^0l1=vBn1;3xR71=:r.3578=;W72>7}#9<21o6*>568`?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:jk4?:383>5}#1m0:j6F60:k63?6=,0n19:54o9094?">l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb32;>5<5290;w)7k:0d8L<6i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd58k0;6;4?:1y'=a<2:2B2<6*98;33?l30290/5i4:7:T:b?7|Dh;1=vX99;0x =?==>1]9<4={%36;W;e>4}Ki80:w[86:3y'<<<592\>=74=#9<=1=6sr}|9j67<72-3o6?<4V8d96~Jf93;pZ;752z&;=?453_?:6?u+14:9`>"6=>0o7psrL`290~P?k39pZ?;52z&213<5:2.:984>599~R70=:r.:9;4:7:&210<6=11v(?l?:`9~R=e=;r\99774<,8?>6<;7;|T12?4|,8?=6894$076>4303t.9n=4n;|T;g?5|^;?1>v*>57816>"6=<0:955rV3496~"6=?09=6*>54821==z,;h;6?5rV9:96~P5=38p(<;9:308 43228?37p*=b180?x{z3`2i6=4+9e8;f>P>n38p@l?51zT5=?4|,1314o5Y5081!7203l0(<;8:g9~yxJf83;pZ4=52zT11?4|,8?=65l4$076>43?3t.9n=4j;|~?l>f290/5i47a:T:b?4|Dh;1=vX99;0x =?=0h1]9<4={%36vX=5;0x 43121k0(<;::07;?x"5j90n7psr;n:1>5<#1m03>65rb322>5<4290;w)7k:858L<6{W04>7}#000>46X:1;0x 43?281/=8951:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=92.:9:4>;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd58;0;6<4?:1y'=a4}Ki80:w[<8:3y'<<<212\>=74=#9<=1=6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a653=83>1<7>t$8f95a=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<2186*>5687?x{zu2c>57>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954m;%363?d47l4$074>g=zutw0c5<50;&:`?>53_3m6{zut1vn?>9:187>5<7s-3o6=83.2h7;8;I;`?S?a28qGm<4>{W04>7}#000>46X:1;0x 43?2=1/=8954:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47l4$074>g=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21n6*>568a?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th9<>4?:483>5}#1m03=6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:09'501=92wvqp5f6083>!?c2<=0Z4h51zNb5?7|^;=1>v*79;42?S362;q/=8651:&212<63twvq6a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?76<729q/5i4>d:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<03-;>;794}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f76>290<6=4?{%;g>4b<@0:0e8650;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:99'501=02wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865c:&2121C5n5Y9g82Ig628q]>:4={%::>36<^<;1>v*>598`?!72?3i0qpsr;h42>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<1:2\>=7f=#9<=1o6sr}|9j26<72-3o6894V8d95~Jf93;pZ?952z&;=?043_?:6?u+14:9g>"6=>0h7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`14f<72;0;6=u+9e82b>N>82c>;7>5$8f912={W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj;;36=49:183!?c2<80D4>4$7:955=n=>0;6)7k:458R<`=9rFj=7?tV7;96~"?13?<7[;>:3y'50>=92.:9:4>;|~y>o593:1(4j5209U=c<6sEk:6>2;;0Z8?52z&21=<63-;>;7?4}|~?l45290/5i4=2:T:b?4|Dh;1=vX99;0x =?=:;1]9<4={%3638p(<;9:458 43228?37p*=b18b?xP?k39pZ?;52z&213<5:2.:984>599~R70=:r.:9;4:7:&210<6=>1v(?l?:`9~R=e=;r\99774<,8?>6<;7;|T12?4|,8?=6??4$076>43?3t.9n=4=;|T;v*>57816>"6=<0:955r$3`3>6=zut1b4o4?:%;g>=d<^0l1>vBn1;3xR3?=:r.3576m;W72>7}#9<21j6*>568e?x{zDh:1=vX63;0xR73=:r.:9;47b:&210<6=11v(?l?:d9~yx=n0h0;6)7k:9c8R<`=:rFj=7?tV7;96~"?132j7[;>:3y'50>=n2.:9:4i;|~Hd6=9r\2?754821==z,;h;6h5r}|9l<7<72-3o65<4;|`14`<72:0;6=u+9e8:3>N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10:7)?:7;38yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203;0(<;8:09~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj;:m6=4>:183!?c2h>0D4>4o9094?">l32976sm20394?5=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<63-;>;7?4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi><=50;694?6|,0n1=i5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:90>"6=>0?7psr}:k6=?6=,0n19:5G9b9U=c<6sEk:6>2<30Z8?52z&21=;7l4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f773290?6=4?{%;g>4b<@0:0e8650;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:59'501=<2wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:728R07=:r.:954m;%363?d47?4$074>4=zutw0qo<>5;290?6=8r.2h7?k;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=7g=#9<=1n6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9f>"6=>0i7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`153<72=0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954m;%363?d47l4$074>g=zutw0e;>50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi><>50;594?6|,0n14<5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:95>"6=>0:7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>5982?!72?3;0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88855>P2938p(<;7:09'501=92wvqp5f6383>!?c2<=0Z4h51zNb5?7|^;=1>v*79;41?S362;q/=8651:&212<63twvq6g93;29 1]5k4>{Mc2>4}Q:>09w)66:718R07=:r.:954>;%363?747?4$074>4=zutw0qo4}Ki80:w[<8:3y'<<<212\>=72=#9<=1;6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a64?=8381<7>t$8f95c=O191b9:4?:%;g>01<3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{7>52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm23794?0=83:p(4j5539K=5=#>10:<6g:7;29 1]5k4>{Mc2>4}Q>009w)66:458R07=:r.:954>;%363?7:18'=a<592\2j7?tL`395~P1138p(575209U14<5s-;>47?4$074>4=zutw0e?<50;&:`?453_3m6?uCa082S0>2;q/444=2:T65?4|,8?36i5+1459`>{zuEk;69uY8b80S422;q/=885239'503=9<20q[<9:3y'500==>1/=8;514:8y!4e83k0q[6l:2yU60<5s-;>:7<=;%361?7202w]>;4={%362?303-;>97?:7:'6g6=i2w]4n4<{W06>7}#9<<1>?5+147950>3897)?:5;36<>{#:k:1?6sr}:k;f?6=,0n14o5Y9g81Ig628q]:44={%::>=d<^<;1>v*>598e?!72?3l0qpsCa182S?42;q]>84={%362?>e3-;>97?:8:'6g6=m2wvq6g7a;29 4}Q>009w)66:9c8R07=:r.:954i;%363?`{W;0>7}Q:<09w)?:6;:b?!72=3;>46s+2c29a>{zu2e3>7>5$8f9<7=53;294~">l33<7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm20a94?7=83:p(4j5a59K=5=h0;0;6)7k:908?xd59l0;6>4?:1y'=a<6l2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn??i:187>5<7s-3o6=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=71=#9<=186sr}|9j1<<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2B2o6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:c9'501=j2wvqp5f6183>!?c2<=0Z4h51zNb5?7|^;=1>v*79;43?S362;q/=865b:&212{Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?71<729q/5i4>d:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=;7l4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=7g=#9<=1n6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a64b=83?1<7>t$8f9<4=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21=6*>5682?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10:7)?:7;38yx{z3`<;6=4+9e863>P>n3;p@l?51zT13?4|,131:=5Y5081!7203;0(<;8:09~yx{{W04>7}#000==6X:1;0x 43?281/=8951:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e:8k1<7=50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8657:&212<03twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:9548;%363?147?4$074>4=zutw0qo<=3;290?6=8r.2h7?k;I;3?l3?290/5i4:7:J:g>P>n3;p@l?51zT13?4|,131955Y5081!720320(<;8:99~yx{{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21o6*>568`?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th9>;4?:383>5}#1m0:j6F60:k63?6=,0n19:54o9094?">l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb312>5<1290;w)7k:408L<6<,?21==5f5683>!?c2<=0Z4h51zNb5?7|^?31>v*79;74?S362;q/=8651:&212<63twvq6g=1;29 {Mc2>4}Q>009w)66:338R07=:r.:954>;%363?747j4$074>a=zutFj<7:tV9a97~P5=38p(<;9:308 43228?37pX=6;0x 4312<=0(<;::07;?x"5j90j7pX7c;1xR73=:r.:9;4=2:&210<6=11vZ?852z&213<2?2.:984>569~ 7d72h1vZ5m53zT11?4|,8?=6?<4$076>43?3t\9:777<,8?>6<;7;|&1f5<53t\3476*>54821==z,;h;6>5r}|9je3_?:6?u+14:9b>"6=>0m7psrL`295~P>;38pZ?;52z&213599~ 7d72l1vqp5f8`83>!?c21k0Z4h52zNb5?7|^?31>v*79;:b?S362;q/=865f:&21251zT:7?4|^;?1>v*>578;e>"6=<0:955r$3`3>`=zut1d4?4?:%;g>=4<3th9>54?:283>5}#1m02;6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb30:>5<6290;w)7k:`68L<6{e:;h1<7=50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8651:&212<63twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954>;%363?747?4$074>4=zutw0qo<=c;290?6=8r.2h7?k;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%36{W04>7}#000>56X:1;0x 43?2k1/=895b:~yx=n>90;6)7k:458R<`=9rFj=7?tV3596~"?13<;7[;>:3y'50>=j2.:9:4m;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd5:m0;694?:1y'=a<6l2B2<6g:8;29 1C5n5Y9g82Ig628q]>:4={%::>0><^<;1>v*>5987?!72?3>0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:c9'501=j2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm23g94?2=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=j2.:9:4m;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=;7l4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f74a290?6=4?{%;g>4b<@0:0e8650;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:c9'501=j2wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:728R07=:r.:954m;%363?d47?4$074>4=zutw0qo<=a;292?6=8r.2h76>;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=74=#9<=1=6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:95>"6=>0:7psr}:k55?6=,0n19:5Y9g82Ig628q]>:4={%::>37<^<;1>v*>5982?!72?3;0qpsr;h41>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb304>5<4290;w)7k:0f8L<6{W04>7}#000>46X:1;0x 43?2>1/=8957:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=?2.:9:48;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd6><0;6?4?:1y'=a<6n2B2<6g:7;29 10c5<50;&:`?>53_3m6{zut1vn<9>:181>5<7s-3o6:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`235<72?0;6=u+9e866>N>82.=47??;h74>5<#1m0>;6X6f;3xHd7=9r\=57l38:7[7i:0yOe4<6s_<26?u+88815>P2938p(<;7:09'501=92wvqp5f2383>!?c2;80Z4h52zNb5?7|^?31>v*79;01?S362;q/=865d:&21254zT;g?5|^;?1>v*>57816>"6=<0:955rV3496~"6=?0>;6*>54821==z,;h;6l5rV9a97~P5=38p(<;9:308 43228?37pX=6;0x 4312<=0(<;::074?x"5j90j7pX7c;1xR73=:r.:9;4=2:&210<6=11vZ?852z&213<592.:984>599~ 7d72;1vZ5652zT11?4|,8?=6?<4$076>43?3t.9n=4<;|~?l>e290/5i47b:T:b?4|Dh;1=vX99;0x =?=0k1]9<4={%36vX=5;0x 43121h0(<;::07;?x"5j90n7psr;h:b>5<#1m03m6X6f;0xHd7=9r\=57=g<,8?>6<;7;|&1f55<7s-3o6494H828m0>=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=74=#9<=1=6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:95>"6=>0:7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`22=<7280;6=u+9e8b0>N>82e3>7>5$8f9<7=53;294~">l3;o7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm17`94?2=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=j2.:9:4m;|~y>o213:1(4j5569K=f=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10i7)?:7;`8yx{z3`<;6=4+9e863>P>n3;p@l?51zT13?4|,131:=5Y5081!7203h0(<;8:c9~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj84i4:94?">l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<202\>=7g=#9<=1n6sr}|9j1<<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47:4$074>1=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<@0i0Z4h51zNb5?7|^;=1>v*79;43?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:738R07=:r.:954m;%363?d47?4$074>4=zutw0qo?9e;291?6=8r.2h7?k;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%36{W04>7}#000>56X:1;0x 43?2k1/=895b:~yx=n>90;6)7k:458L7}#9<21n6*>568a?x{zu2c==7>5$8f912=Q1o0:wAo>:0yU62<5s-226;?4V4396~"6=10i7)?:7;`8yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{56;294~">l32:7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:09'501=92wvqp5f6183>!?c2<=0Z4h51zNb5?7|^;=1>v*79;43?S362;q/=8651:&212<63twvq6g91;29 1]5k4>{Mc2>4}Q:>09w)66:738R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vn<89:180>5<7s-3o6=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=72=#9<=1;6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:93>"6=>0<7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`a7?6=:3:1=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{ejh0;6?4?:1y'=a<6n2B2<6g:7;29 10c5<50;&:`?>53_3m6{zut1vnoj50;494?6|,0n19?5G919'2=<682c>;7>5$8f912=Q1o0:wAo>:0yU2<<5s-226894V4396~"6=10:7)?:7;38yx{z3`8:6=4+9e815>P>n3;p@l?51zT5=?4|,131><5Y5081!7203;0(<;8:09~yx{{W4:>7}#0009>6X:1;0x 43?2m1/=895d:~yIg72=q]4n4<{W06>7}#9<<1>?5+147950>uY2481!72>3897)?:5;36<>{Q:?09w)?:6;74?!72=3;>;6s+2c29e>{Q0j08w[<::3y'500=:;1/=8;514:8yS412;q/=885209'503=9<20q)?2;q]>84={%362?453-;>97?:8:'6g6=;2wvq6g7b;29 4}Q>009w)66:9`8R07=:r.:954i;%363?`{W;0>7}Q:<09w)?:6;:a?!72=3;>46s+2c29a>{zu2c3m7>5$8f9:0yU2<<5s-2265o4V4396~"6=10m7)?:7;d8yx{Ki90:w[7<:3yU60<5s-;>:76n;%361?7202w/>o>5e:~y>i?:3:1(4j58398ygd229086=4?{%;g><1<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21=6*>5682?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3thi:7>51;294~">l3k?7E7?;n:1>5<#1m03>65rbc:94?5=83:p(4j51e9K=5=n=10;6)7k:458L7}#9<21n6*>568a?x{zu2c>57>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954m;%363?d47?4$074>4=zutw0qol6:187>5<7s-3o6=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=71=#9<=186sr}|9j1<<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569K=f=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10i7)?:7;`8yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{4i4:94?">l3?<7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:09'501=92wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=8651:&212<63twvq6a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?74}Ki80:w[<8:3y'<<<212\>=72=#9<=1;6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:afg<72>0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:9547;%363?>47m4$074>f=zutw0e;>50;&:`?303A3h7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:b9'501=k2wvqp5f6083>!?c2<=0Z4h51zNb5?7|^;=1>v*79;42?S362;q/=865c:&2121C5n5Y9g82Ig628q]>:4={%::>34<^<;1>v*>598`?!72?3i0qpsr;h40>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rbcg94?4=83:p(4j51g9K=5=n=>0;6)7k:458?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3629086=4?{%;g><1<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<2196*>5686?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3thh47>56;294~">l3?97E7?;%4;>46{W4:>7}#000>;6X:1;0x 43?281/=8951:~yx=n:80;6)7k:338R<`=9rFj=7?tV7;96~"?138:7[;>:3y'50>=92.:9:4>;|~y>o5:3:1(4j5239U=c<5sEk:6>2;80Z8?52z&21=;7j4}|Oe5<3s_2h6>uY2481!72>3897)?:5;36<>{Q:?09w)?:6;74?!72=3;>46s+2c29e>{Q0j08w[<::3y'500=:;1/=8;514:8yS412;q/=885569'503=9<=0q)d2:q]>84={%362?453-;>97?:8:U63<5s-;>:7<>;%361?7202w/>o>52:U<=<5s_8>6?u+144967=#9e3_3m6?uCa082S0>2;q/4447b:T65?4|,8?36k5+1459b>{zuEk;6{W4:>7}#0003m6X:1;0x 43?2o1/=895f:~yIg728q]5>4={W06>7}#9<<14l5+147950>N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10:7)?:7;38yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203;0(<;8:09~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zjj;1<7?50;2x !?c21807pll3;290?6=8r.2h7?k;I;3?l3?290/5i4:7:J:g>P>n3;p@l?51zT13?4|,131955Y5081!7203>0(<;8:59~yx{{W04>7}#000>56X:1;0x 43?2k1/=895b:~yx=n>90;6)7k:458R<`=9rFj=7?tV3596~"?13<;7[;>:3y'50>=j2.:9:4m;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xdd<3:187>50z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47l4$074>g=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21n6*>568a?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3thh97>51083>5}#1m0:h6F60:k6>2<20Z8?52z&21=;7l4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=7g=#9<=1n6sr}|9j24<72-3o6894V8d95~Jf93;pZ?952z&;=?063_?:6?u+14:9f>"6=>0i7psr}:k56?6=,0n19:5Y9g82Ig628q]>:4={%::>34<^<;1>v*>598a?!72?3h0qpsr;h40>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88850>P2938p(<;7:c9'501=j2wvqp5f6483>!?c2<=0Z4h51zNb5?7|^;=1>v*79;46?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:748R07=:r.:954m;%363?d47l4$074>g=zutw0e8o50;&:`?303_3m6{zut1b9o4?:%;g>01<^0l1=vBn1;3xR71=:r.357;m;W72>7}#9<21=6*>5682?x{zu2c>o7>5$8f912=Q1o0:wAo>:0yU62<5s-2268m4V4396~"6=10:7)?:7;38yx{z3`?o6=4+9e863>P>n3;p@l?51zT13?4|,1319i5Y5081!7203;0(<;8:09~yx{{W04>7}#000>i6X:1;0x 43?281/=8951:~yx=n=o0;6)7k:458R<`=9rFj=7?tV3596~"?13?m7[;>:3y'50>=92.:9:4>;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xdd>3:1=<4?:1y'=a<6l2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954;;%363?2l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:c9'501=j2wvqp5f6083>!?c2<=0Z4h51zNb5?7|^;=1>v*79;42?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:708R07=:r.:954m;%363?d47l4$074>g=zutw0e;:50;&:`?303_3m6{zut1b:84?:%;g>01<^0l1=vBn1;3xR71=:r.3578:;W72>7}#9<21n6*>568a?x{zu2c=:7>5$8f912=Q1o0:wAo>:0yU62<5s-226;84V4396~"6=10i7)?:7;`8yx{z3`<<6=4+9e863>P>n3;p@l?51zT13?4|,131::5Y5081!7203h0(<;8:c9~yx{{W04>7}#000>m6X:1;0x 43?2k1/=895b:~yx=n=k0;6)7k:458R<`=9rFj=7?tV3596~"?13?i7[;>:3y'50>=j2.:9:4m;|~y>o2k3:1(4j5569U=c<6sEk:6>2;7?4}|~?l3c290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==m1]9<4={%364}Ki80:w[<8:3y'<<<2m2\>=74=#9<=1=6sr}|9j1c<72-3o6894V8d95~Jf93;pZ?952z&;=?3a3_?:6?u+14:95>"6=>0:7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|``6?6==3:1P>n3;p@l?51zT13?4|,131955Y5081!7203;0(<;8:09~yx{{W04>7}#000>56X:1;0x 43?281/=8951:~yx=n>90;6)7k:458R<`=9rFj=7?tV3596~"?13<;7[;>:3y'50>=92.:9:4>;|~y>o193:1(4j5569U=c<6sEk:6>2?;0Z8?52z&21=<63-;>;7?4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%364b<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21;6*>5684?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3thhm7>52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6smd483>6<729q/5i467:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<63-;>;7?4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~fa2=83<1<7>t$8f917=O191/:54>0:k63?6=,0n19:5Y9g82Ig628q]:44={%::>01<^<;1>v*>5982?!72?3;0qpsr;h02>5<#1m09=6X6f;3xHd7=9r\=57l3897[7i:3yOe4<6s_<26?u+88816>P2938p(<;7:e9'501=l2wvqAo?:5yU6?u+144967=#97}#9<<19:5+147950>6}Q:<09w)?:6;01?!72=3;>46sY2781!72>3?<7)?:5;363>{#:k:1m6sY8b80S422;q/=885239'503=9<20q[<9:3y'500=:81/=8;514:8y!4e8380q[67:3yU60<5s-;>:7<=;%361?7202w/>o>53:~y>o?j3:1(4j58c9U=c<5sEk:6>21h0Z8?52z&21=;7h4}|Oe5<6s_386?uY2481!72>32i7)?:5;36<>{#:k:1i6sr}:k;e?6=,0n14l5Y9g81Ig628q]:44={%::>=g<^<;1>v*>598e?!72?3l0qpsCa182S?42;q]>84={%362?>f3-;>97?:8:'6g6=m2wvq6a72;29 5<7s-3o6494H828m0>=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=74=#9<=1=6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:95>"6=>0:7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|```?6=93:1=zjjl1<7:50;2x !?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:90>"6=>0?7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rbe294?2=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=j2.:9:4m;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=;7l4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~fa7=83;:6=4?{%;g>4b<@0:0e8650;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:c9'501=j2wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:728R07=:r.:954m;%363?d:18'=a<2?2\2j7?tL`395~P5?38p(575609U14<5s-;>47l4$074>g=zutw0e;<50;&:`?303_3m6{zut1b:>4?:%;g>01<^0l1=vBn1;3xR71=:r.3578<;W72>7}#9<21n6*>568a?x{zu2c=87>5$8f912=Q1o0:wAo>:0yU62<5s-226;:4V4396~"6=10i7)?:7;`8yx{z3`<>6=4+9e863>P>n3;p@l?51zT13?4|,131:85Y5081!7203h0(<;8:c9~yx{{W04>7}#000=:6X:1;0x 43?2k1/=895b:~yx=n>>0;6)7k:458R<`=9rFj=7?tV3596~"?13<<7[;>:3y'50>=j2.:9:4m;|~y>o2i3:1(4j5569U=c<6sEk:6>2;7l4}|~?l3e290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==k1]9<4={%364}Ki80:w[<8:3y'<<<2k2\>=74=#9<=1=6sr}|9j1a<72-3o6894V8d95~Jf93;pZ?952z&;=?3c3_?:6?u+14:95>"6=>0:7psr}:k6a?6=,0n19:5Y9g82Ig628q]>:4={%::>0c<^<;1>v*>5982?!72?3;0qpsr;h7e>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rbe094?76290;w)7k:0f8L<6{W04>7}#000>46X:1;0x 43?2=1/=8954:~yx=n=00;6)7k:458L7}#9<21n6*>568a?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10i7)?:7;`8yx{z3`<:6=4+9e863>P>n3;p@l?51zT13?4|,131:<5Y5081!7203h0(<;8:c9~yx{{W04>7}#000=>6X:1;0x 43?2k1/=895b:~yx=n>:0;6)7k:458R<`=9rFj=7?tV3596~"?13<87[;>:3y'50>=j2.:9:4m;|~y>o1<3:1(4j5569U=c<6sEk:6>2?>0Z8?52z&21=;7l4}|~?l02290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=><1]9<4={%364}Ki80:w[<8:3y'<<<1>2\>=7g=#9<=1n6sr}|9j22<72-3o6894V8d95~Jf93;pZ?952z&;=?003_?:6?u+14:9f>"6=>0i7psr}:k6e?6=,0n19:5Y9g82Ig628q]>:4={%::>0g<^<;1>v*>598a?!72?3h0qpsr;h7a>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886g>P2938p(<;7:c9'501=j2wvqp5f5e83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7g?S362;q/=8651:&212<63twvq6g:e;29 1]5k4>{Mc2>4}Q:>09w)66:4g8R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vnnk50;794?6|,0n14<5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:95>"6=>0:7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>5982?!72?3;0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88855>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6smcc83>6<729q/5i4>d:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<03-;>;794}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f`4=8381<7>t$8f95c=O191b9:4?:%;g>01<3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{4i4594?">l3?<76a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?72;q/444:7:T65?4|,8?36<5+14595>{zut1b><4?:%;g>77<^0l1=vBn1;3xR3?=:r.357<>;W72>7}#9<21=6*>5682?x{zu2c9>7>5$8f967=Q1o09wAo>:0yU2<<5s-226?<4V4396~"6=10o7)?:7;f8yx{Ki90?w[6l:2yU60<5s-;>:7<=;%361?7202w]>;4={%362?303-;>97?:8:'6g6=i2w]4n4<{W06>7}#9<<1>?5+147950>uY2481!72>3897)?:5;36<>{Q:?09w)?:6;02?!72=3;>46s+2c296>{Q0109w[<::3y'500=:;1/=8;514:8y!4e8390qps4i9`94?">l32i7[7i:3yOe4<6s_<26?u+888;f>P2938p(<;7:g9'501=n2wvqAo?:0yU=6<5s_8>6?u+1449f3_3m6?uCa082S0>2;q/4447a:T65?4|,8?36k5+1459b>{zuEk;6{em=0;6>4?:1y'=a<>?2B2<6g:8;29 1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954>;%363?747?4$074>4=zutw0c5<50;&:`?>53_3m6{zut1vnh;50;394?6|,0n1m95G919l<7<72-3o65<4;|`f3?6=;3:1P>n3;p@l?51zT13?4|,131955Y5081!7203;0(<;8:09~yx{{W04>7}#000>56X:1;0x 43?281/=8951:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{em10;694?:1y'=a<6l2B2<6g:8;29 1C5n5Y9g82Ig628q]>:4={%::>0><^<;1>v*>598a?!72?3h0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<182\>=7g=#9<=1n6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:aa<<72=0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954m;%363?dl3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:c9'501=j2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sme783>1<729q/5i471:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<63-;>;7?4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=74=#9<=1=6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:aa6<72:0;6=u+9e82`>N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10<7)?:7;58yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203=0(<;8:69~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zjlh1<7=50;2x !?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:9g>"6=>0h7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598`?!72?3i0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;75<1290;w)7k:0f8L<6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21o6*>568`?x{zu2c=<7>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:728R07=:r.:954l;%363?e:18'=a<2?2\2j7?tL`395~P5?38p(575609U14<5s-;>47m4$074>f=zutw0e;<50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wiii4?:283>5}#1m03=6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rbg294?4=83:p(4j51g9K=5=n=>0;6)7k:458?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%364`<@0:0e8950;&:`?3032e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3thmi7>53;294~">l33<7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:49'501==2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6smfe83>3<729q/5i4:2:J:4>"103;;7d;8:18'=a<2?2\2j7?tL`395~P1138p(575569U14<5s-;>47?4$074>4=zutw0e??50;&:`?463_3m62;q/444=1:T65?4|,8?36<5+14595>{zut1b>?4?:%;g>74<^0l1>vBn1;3xR3?=:r.357<=;W72>7}#9<21h6*>568g?x{zDh:18vX7c;1xR73=:r.:9;4=2:&210<6=11vZ?852z&213<2?2.:984>599~ 7d72h1vZ5m53zT11?4|,8?=6?<4$076>43?3t\9:701<,8?>6<;8;|&1f56*>54821==z^;<1>v*>57815>"6=<0:955r$3`3>7=z^121>vX=5;0x 4312;80(<;::07;?x"5j9087psr;h:a>5<#1m03n6X6f;0xHd7=9r\=57=d<,8?>6<;7;|&1f547h4$074>c=zutFj<7?tV8196~P5=38p(<;9:9c8 43228?37p*=b18f?x{z3f296=4+9e8;6>=zjo81<7=50;2x 1C5=5f5983>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8651:&212<63twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954>;%363?747?4$074>4=zutw0qoh<:182>5<7s-3o6l:4H828k=4=83.2h76=;:ab0<72:0;6=u+9e82`>N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10:7)?:7;38yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203;0(<;8:09~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zjo<1<7:50;2x !?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:90>"6=>0?7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rbg594?2=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=j2.:9:4m;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=;7l4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~fc2=83>1<7>t$8f9<4=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21=6*>5682?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10:7)?:7;38yx{z3`<;6=4+9e863>P>n3;p@l?51zT13?4|,131:=5Y5081!7203;0(<;8:09~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zjo;1<7=50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8657:&212<03twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:9548;%363?147?4$074>4=zutw0qoh6:186>5<7s-3o6=83.2h7;8;W;e>4}Ki80:w[<8:3y'<<<202\>=7==#9<=146sr}|9j1<<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=k2.:9:4l;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7m4}|~?l06290/5i4:7:J:g>P>n3;p@l?51zT13?4|,131:<5Y5081!7203i0(<;8:b9~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zjok1<7;50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8658:&2121C5n5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598`?!72?3i0qpsr;h43>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<<192\>=7f=#9<=1o6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:abg<72:0;6=u+9e8;5>N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10:7)?:7;38yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203;0(<;8:09~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zjol1<7<50;2x !?c2<=07b6=:18'=a47?4$074>4=zutw0qo??a;297?6=8r.2h778;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=70=#9<=196sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a55?=83<1<7>t$8f917=O191/:54>0:k63?6=,0n19:5Y9g82Ig628q]:44={%::>01<^<;1>v*>5982?!72?3;0qpsr;h02>5<#1m09=6X6f;3xHd7=9r\=57l3897[7i:3yOe4<6s_<26?u+88816>P2938p(<;7:e9'501=l2wvqAo?:5yU6?u+144967=#97}#9<<19:5+147950>6}Q:<09w)?:6;01?!72=3;>46sY2781!72>3?<7)?:5;363>{#:k:1m6sY8b80S422;q/=885239'503=9<20q[<9:3y'500=:81/=8;514:8y!4e8380q[67:3yU60<5s-;>:7<=;%361?7202w/>o>53:~y>o?j3:1(4j58c9U=c<5sEk:6>21h0Z8?52z&21=;7h4}|Oe5<6s_386?uY2481!72>32i7)?:5;36<>{#:k:1i6sr}:k;e?6=,0n14l5Y9g81Ig628q]:44={%::>=g<^<;1>v*>598e?!72?3l0qpsCa182S?42;q]>84={%362?>f3-;>97?:8:'6g6=m2wvq6a72;29 4}Ki80:w[<8:3y'<<<212\>=74=#9<=1=6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a554=83;1<7>t$8f9e1=O191d4?4?:%;g>=4<3th:<94?:583>5}#1m0:h6F60:k6>2<20Z8?52z&21=<33-;>;7:4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=7g=#9<=1n6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a553=83>1<7>t$8f95a=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21n6*>568a?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10i7)?:7;`8yx{z3`<;6=4+9e863>P>n3;p@l?51zT13?4|,131:=5Y5081!7203h0(<;8:c9~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj8:=6=4::183!?c28n0D4>4i4:94?">l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<202\>=7g=#9<=1n6sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:9f>"6=>0i7psr}:k54?6=,0n19:5Y9g82Ig628q]>:4={%::>36<^<;1>v*>598a?!72?3h0qpsr;h42>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb024>5<1290;w)7k:0f8L<6{W04>7}#000>46X:1;0x 43?2=1/=8954:~yx=n=00;6)7k:458L7}#9<21n6*>568a?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10i7)?:7;`8yx{z3`<:6=4+9e863>P>n3;p@l?51zT13?4|,131:<5Y5081!7203h0(<;8:c9~yx{{W04>7}#000=>6X:1;0x 43?2k1/=895b:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e9991<7;50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8651:&212<63twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954>;%363?747?4$074>4=zutw0e;?50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi==>50;194?6|,0n1=i5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:93>"6=>0<7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>5984?!72?3=0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;7=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e98<1<7=50;2x 1C5=5f5983>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8651:&212<63twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954:;%363?347?4$074>4=zutw0qo?>5;292?6=8r.2h7;=;I;3?!0?28:0e8950;&:`?303_3m62;q/444:7:T65?4|,8?36<5+14595>{zut1b><4?:%;g>77<^0l1=vBn1;3xR3?=:r.357<>;W72>7}#9<21=6*>5682?x{zu2c9>7>5$8f967=Q1o09wAo>:0yU2<<5s-226?<4V4396~"6=10o7)?:7;f8yx{Ki90?w[6l:2yU60<5s-;>:7<=;%361?7202w]>;4={%362?303-;>97?:8:'6g6=i2w]4n4<{W06>7}#9<<1>?5+147950>uY2481!72>3897)?:5;36<>{Q:?09w)?:6;02?!72=3;>46s+2c296>{Q0109w[<::3y'500=:;1/=8;514:8y!4e8390qps4i9`94?">l32i7[7i:3yOe4<6s_<26?u+888;f>P2938p(<;7:g9'501=n2wvqAo?:0yU=6<5s_8>6?u+1449f3_3m6?uCa082S0>2;q/4447a:T65?4|,8?36k5+1459b>{zuEk;6{e99n1<7=50;2x 1C5=5f5983>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8651:&212<63twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954>;%363?747?4$074>4=zutw0qo??e;295?6=8r.2h7o;;I;3?j>5290/5i472:9~f477290?6=4?{%;g>4b<@0:0e8650;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:59'501=<2wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:728R07=:r.:954m;%363?d47?4$074>4=zutw0qo?>1;290?6=8r.2h7?k;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=7g=#9<=1n6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9f>"6=>0i7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`257<72?0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954m;%363?d47l4$074>g=zutw0e;>50;&:`?303_3m6{zut1b:<4?:%;g>01<^0l1=vBn1;3xR71=:r.3578>;W72>7}#9<21n6*>568a?x{zu2c=>7>5$8f912=Q1o0:wAo>:0yU62<5s-226;<4V4396~"6=10i7)?:7;`8yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{57;294~">l3;o7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<212\>=7g=#9<=1n6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9f>"6=>0i7psr}:k55?6=,0n19:5Y9g82Ig628q]>:4={%::>37<^<;1>v*>598a?!72?3h0qpsr;h41>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88857>P2938p(<;7:c9'501=j2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm11d94?3=83:p(4j5809K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<63-;>;7?4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<<192\>=74=#9<=1=6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a55e=8391<7>t$8f95a=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21;6*>5684?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10<7)?:7;58yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm13094?5=83:p(4j5969K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<23-;>;7;4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?353A3;7)87:028m01=83.2h7;8;W;e>4}Ki80:w[86:3y'<<<2?2\>=74=#9<=1=6sr}|9j64<72-3o6??4V8d95~Jf93;pZ;752z&;=?463_?:6?u+14:95>"6=>0:7psr}:k16?6=,0n1>?5Y9g81Ig628q]:44={%::>74<^<;1>v*>598g?!72?3n0qpsCa187S>d2:q]>84={%362?453-;>97?:8:U63<5s-;>:7;8;%361?7202w/>o>5a:U6?u+144967=#97}#9<<19:5+14795016}Q:<09w)?:6;01?!72=3;>46sY2781!72>38:7)?:5;36<>{#:k:1>6sY8981S422;q/=885239'503=9<20q){W4:>7}#0003n6X:1;0x 43?2o1/=895f:~yIg728q]5>4={W06>7}#9<<14o5+147950>7}Ki80:w[86:3y'<<=7c=#9<=1j6sr}Mc3>4}Q1:09w[<::3y'500=0h1/=8;514:8y!4e83o0qps4o9094?">l32976sm10;94?5=83:p(4j5969K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<63-;>;7?4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?g33A3;7b6=:18'=a5<7s-3o6=83.2h7;8;I;`?S?a28qGm<4>{W04>7}#000>46X:1;0x 43?2=1/=8954:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47l4$074>g=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21n6*>568a?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:=h4?:683>5}#1m0:h6F60:k6>2<20Z8?52z&21=;7l4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=7g=#9<=1n6sr}|9j24<72-3o6894V8d95~Jf93;pZ?952z&;=?063_?:6?u+14:9f>"6=>0i7psr}:k56?6=,0n19:5Y9g82Ig628q]>:4={%::>34<^<;1>v*>598a?!72?3h0qpsr;h40>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb03e>5{W04>7}#000>46X:1;0x 43?2=1/=8954:~yx=n=00;6)7k:458L7}#9<21n6*>568a?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10i7)?:7;`8yx{z3`<:6=4+9e863>P>n3;p@l?51zT13?4|,131:<5Y5081!7203h0(<;8:c9~yx{{W04>7}#000=>6X:1;0x 43?2k1/=895b:~yx=n>:0;6)7k:458R<`=9rFj=7?tV3596~"?13<87[;>:3y'50>=j2.:9:4m;|~y>o1<3:1(4j5569U=c<6sEk:6>2?>0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?>63A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21=6*>5682?x{zu2c==7>5$8f912=Q1o0:wAo>:0yU62<5s-226;?4V4396~"6=10:7)?:7;38yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{53;294~">l3;o7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;71vqps4i4;94?">l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:69'501=?2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm13194?4=83:p(4j51g9K=5=n=>0;6)7k:458?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`??03A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=?j50;494?6|,0n19?5G919'2=<682c>;7>5$8f912=Q1o0:wAo>:0yU2<<5s-226894V4396~"6=10:7)?:7;38yx{z3`8:6=4+9e815>P>n3;p@l?51zT5=?4|,131><5Y5081!7203;0(<;8:09~yx{{W4:>7}#0009>6X:1;0x 43?2m1/=895d:~yIg72=q]4n4<{W06>7}#9<<1>?5+147950>uY2481!72>3897)?:5;36<>{Q:?09w)?:6;74?!72=3;>;6s+2c29e>{Q0j08w[<::3y'500=:;1/=8;514:8yS412;q/=885209'503=9<20q)?2;q]>84={%362?453-;>97?:8:'6g6=;2wvq6g7b;29 4}Q>009w)66:9`8R07=:r.:954i;%363?`{W;0>7}Q:<09w)?:6;:a?!72=3;>46s+2c29a>{zu2c3m7>5$8f9:0yU2<<5s-2265o4V4396~"6=10m7)?:7;d8yx{Ki90:w[7<:3yU60<5s-;>:76n;%361?7202w/>o>5e:~y>i?:3:1(4j58398yg75=3:1?7>50z&:`??03A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=?850;394?6|,0n1m95G919l<7<72-3o65<4;|`26=<72=0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954;;%363?247l4$074>g=zutw0e;>50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=?750;694?6|,0n1=i5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:9f>"6=>0i7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb00b>5{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21n6*>568a?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10i7)?:7;`8yx{z3`<:6=4+9e863>P>n3;p@l?51zT13?4|,131:<5Y5081!7203h0(<;8:c9~yx{{W04>7}#000=>6X:1;0x 43?2k1/=895b:~yx=n>:0;6)7k:458R<`=9rFj=7?tV3596~"?13<87[;>:3y'50>=j2.:9:4m;|~y>o1<3:1(4j5569U=c<6sEk:6>2?>0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?7c3A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47:4$074>1=zutw0e8750;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:c9'501=j2wvqp5f6183>!?c2<=0Z4h51zNb5?7|^;=1>v*79;43?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:738R07=:r.:954m;%363?d47l4$074>g=zutw0e;=50;&:`?303_3m6{zut1b:94?:%;g>01<^0l1=vBn1;3xR71=:r.3578;;W72>7}#9<21n6*>568a?x{zu2c=97>5$8f912=Q1o0:wAo>:0yU62<5s-226;;4V4396~"6=10i7)?:7;`8yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{55;294~">l32:7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:09'501=92wvqp5f6183>!?c2<=0Z4h51zNb5?7|^;=1>v*79;43?S362;q/=8651:&212<63twvq6g91;29 1]5k4>{Mc2>4}Q:>09w)66:738R07=:r.:954>;%363?747?4$074>4=zutw0qo?=4;297?6=8r.2h7?k;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=72=#9<=1;6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a57`=8381<7>t$8f95c=O191b9:4?:%;g>01<3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{53;294~">l33<7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:49'501==2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm12;94?0=83:p(4j5539K=5=#>10:<6g:7;29 1]5k4>{Mc2>4}Q>009w)66:458R07=:r.:954>;%363?7:18'=a<592\2j7?tL`395~P1138p(575209U14<5s-;>47?4$074>4=zutw0e?<50;&:`?453_3m6?uCa082S0>2;q/444=2:T65?4|,8?36i5+1459`>{zuEk;69uY8b80S422;q/=885239'503=9<20q[<9:3y'500==>1/=8;514:8y!4e83k0q[6l:2yU60<5s-;>:7<=;%361?7202w]>;4={%362?303-;>97?:7:'6g6=i2w]4n4<{W06>7}#9<<1>?5+147950>3897)?:5;36<>{#:k:1?6sr}:k;f?6=,0n14o5Y9g81Ig628q]:44={%::>=d<^<;1>v*>598e?!72?3l0qpsCa182S?42;q]>84={%362?>e3-;>97?:8:'6g6=m2wvq6g7a;29 4}Q>009w)66:9c8R07=:r.:954i;%363?`{W;0>7}Q:<09w)?:6;:b?!72=3;>46s+2c29a>{zu2e3>7>5$8f9<7=53;294~">l33<7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm12094?7=83:p(4j5a59K=5=h0;0;6)7k:908?xd6;=0;694?:1y'=a<6l2B2<6g:8;29 1C5n5Y9g82Ig628q]>:4={%::>0><^<;1>v*>5987?!72?3>0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:c9'501=j2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm12794?2=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=j2.:9:4m;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=;7l4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f45129026=4?{%;g>4b<@0:0e8650;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:c9'501=j2wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:728R07=:r.:954m;%363?d:18'=a<2?2\2j7?tL`395~P5?38p(575609U14<5s-;>47l4$074>g=zutw0e;<50;&:`?303_3m6{zut1b:>4?:%;g>01<^0l1=vBn1;3xR71=:r.3578<;W72>7}#9<21n6*>568a?x{zu2c=87>5$8f912=Q1o0:wAo>:0yU62<5s-226;:4V4396~"6=10i7)?:7;`8yx{z3`<>6=4+9e863>P>n3;p@l?51zT13?4|,131:85Y5081!7203h0(<;8:c9~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj89<6=4>1;294~">l3;o7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<212\>=7g=#9<=1n6sr}|9j25<72-3o6894V8d95~Jf93;pZ?952z&;=?073_?:6?u+14:9f>"6=>0i7psr}:k55?6=,0n19:5Y9g82Ig628q]>:4={%::>37<^<;1>v*>598a?!72?3h0qpsr;h41>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88857>P2938p(<;7:c9'501=j2wvqp5f6583>!?c2<=0Z4h51zNb5?7|^;=1>v*79;47?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:778R07=:r.:954m;%363?d47l4$074>g=zutw0e;950;&:`?303_3m6{zut1b9l4?:%;g>01<^0l1=vBn1;3xR71=:r.357;n;W72>7}#9<21=6*>5682?x{zu2c>n7>5$8f912=Q1o0:wAo>:0yU62<5s-2268l4V4396~"6=10:7)?:7;38yx{z3`?h6=4+9e863>P>n3;p@l?51zT13?4|,1319n5Y5081!7203;0(<;8:09~yx{{W04>7}#000>h6X:1;0x 43?281/=8951:~yx=n=l0;6)7k:458R<`=9rFj=7?tV3596~"?13?n7[;>:3y'50>=92.:9:4>;|~y>o2n3:1(4j5569U=c<6sEk:6>2;7?4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%3650z&:`?>63A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21=6*>5682?x{zu2c==7>5$8f912=Q1o0:wAo>:0yU62<5s-226;?4V4396~"6=10:7)?:7;38yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{53;294~">l3;o7E7?;h7;>5<#1m0>;6X6f;3xHd7=9r\9;71vqps4i4;94?">l3?<7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:69'501=?2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm12`94?4=83:p(4j51g9K=5=n=>0;6)7k:458?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%363:1?7>50z&:`??03A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=9;50;494?6|,0n19?5G919'2=<682c>;7>5$8f912=Q1o0:wAo>:0yU2<<5s-226894V4396~"6=10:7)?:7;38yx{z3`8:6=4+9e815>P>n3;p@l?51zT5=?4|,131><5Y5081!7203;0(<;8:09~yx{{W4:>7}#0009>6X:1;0x 43?2m1/=895d:~yIg72=q]4n4<{W06>7}#9<<1>?5+147950>uY2481!72>3897)?:5;36<>{Q:?09w)?:6;74?!72=3;>;6s+2c29e>{Q0j08w[<::3y'500=:;1/=8;514:8yS412;q/=885209'503=9<20q)?2;q]>84={%362?453-;>97?:8:'6g6=;2wvq6g7b;29 4}Q>009w)66:9`8R07=:r.:954i;%363?`{W;0>7}Q:<09w)?:6;:a?!72=3;>46s+2c29a>{zu2c3m7>5$8f9:0yU2<<5s-2265o4V4396~"6=10m7)?:7;d8yx{Ki90:w[7<:3yU60<5s-;>:76n;%361?7202w/>o>5e:~y>i?:3:1(4j58398yg74l3:1?7>50z&:`??03A3;7d;7:18'=a<2?2\2j7?tL`395~P5?38p(575599U14<5s-;>47?4$074>4=zutw0e8750;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=>k50;394?6|,0n1m95G919l<7<72-3o65<4;|`205<72=0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:954;;%363?247l4$074>g=zutw0e;>50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi=9?50;694?6|,0n1=i5G919j1=<72-3o6894V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:9f>"6=>0i7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb061>5<693:1N>k2\2j7?tL`395~P5?38p(575599U14<5s-;>47l4$074>g=zutw0e8750;&:`?303_3m6{zut1b:=4?:%;g>01<^0l1=vBn1;3xR71=:r.3578?;W72>7}#9<21n6*>568a?x{zu2c==7>5$8f912=Q1o0:wAo>:0yU62<5s-226;?4V4396~"6=10i7)?:7;`8yx{z3`<96=4+9e863>P>n3;p@l?51zT13?4|,131:?5Y5081!7203h0(<;8:c9~yx{{W04>7}#000=?6X:1;0x 43?2k1/=895b:~yx=n>=0;6)7k:458R<`=9rFj=7?tV3596~"?13:3y'50>=j2.:9:4m;|~y>o1=3:1(4j5569U=c<6sEk:6>2??0Z8?52z&21=;7l4}|~?l01290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>?1]9<4={%364}Ki80:w[<8:3y'<<<1?2\>=74=#9<=1=6sr}|9j1d<72-3o6894V8d95~Jf93;pZ?952z&;=?3f3_?:6?u+14:95>"6=>0:7psr}:k6f?6=,0n19:5Y9g82Ig628q]>:4={%::>0d<^<;1>v*>5982?!72?3;0qpsr;h7`>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886`>P2938p(<;7:09'501=92wvqp5f5d83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7f?S362;q/=8651:&212<63twvq6g:f;29 1]5k4>{Mc2>4}Q:>09w)66:4d8R07=:r.:954>;%363?747?4$074>4=zutw0qo?;3;2954<729q/5i4>d:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<33-;>;7:4}|~?l3>290/5i4:7:J:g>P>n3;p@l?51zT13?4|,131945Y5081!7203h0(<;8:c9~yx{{W04>7}#000=<6X:1;0x 43?2k1/=895b:~yx=n>80;6)7k:458R<`=9rFj=7?tV3596~"?13<:7[;>:3y'50>=j2.:9:4m;|~y>o1:3:1(4j5569U=c<6sEk:6>2?80Z8?52z&21=;7l4}|~?l04290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>:1]9<4={%364}Ki80:w[<8:3y'<<<1<2\>=7g=#9<=1n6sr}|9j20<72-3o6894V8d95~Jf93;pZ?952z&;=?023_?:6?u+14:9f>"6=>0i7psr}:k52?6=,0n19:5Y9g82Ig628q]>:4={%::>30<^<;1>v*>598a?!72?3h0qpsr;h44>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886e>P2938p(<;7:09'501=92wvqp5f5c83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7a?S362;q/=8651:&212<63twvq6g:c;29 1]5k4>{Mc2>4}Q:>09w)66:4a8R07=:r.:954>;%363?747?4$074>4=zutw0e8k50;&:`?303_3m6{zut1b9k4?:%;g>01<^0l1=vBn1;3xR71=:r.357;i;W72>7}#9<21=6*>5682?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:?k4?:483>5}#1m03=6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:09'501=92wvqp5f6083>!?c2<=0Z4h51zNb5?7|^;=1>v*79;42?S362;q/=8651:&212<63twvq6a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?73b83>6<729q/5i4>d:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=<03-;>;794}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f42029096=4?{%;g>4`<@0:0e8950;&:`?3032e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th:9?4?:283>5}#1m02;6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb072>5<1290;w)7k:408L<6<,?21==5f5683>!?c2<=0Z4h51zNb5?7|^?31>v*79;74?S362;q/=8651:&212<63twvq6g=1;29 {Mc2>4}Q>009w)66:338R07=:r.:954>;%363?747j4$074>a=zutFj<7:tV9a97~P5=38p(<;9:308 43228?37pX=6;0x 4312<=0(<;::07;?x"5j90j7pX7c;1xR73=:r.:9;4=2:&210<6=11vZ?852z&213<2?2.:984>569~ 7d72h1vZ5m53zT11?4|,8?=6?<4$076>43?3t\9:777<,8?>6<;7;|&1f5<53t\3476*>54821==z,;h;6>5r}|9je3_?:6?u+14:9b>"6=>0m7psrL`295~P>;38pZ?;52z&213599~ 7d72l1vqp5f8`83>!?c21k0Z4h52zNb5?7|^?31>v*79;:b?S362;q/=865f:&21251zT:7?4|^;?1>v*>578;e>"6=<0:955r$3`3>`=zut1d4?4?:%;g>=4<3th:844?:283>5}#1m02;6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb06b>5<6290;w)7k:`68L<6{e9=i1<7:50;2x !?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:90>"6=>0?7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598a?!72?3h0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb06g>5<3290;w)7k:0f8L<6{W04>7}#000>46X:1;0x 43?2k1/=895b:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=j2.:9:4m;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=;7l4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%361C5n5Y9g82Ig628q]>:4={%::>0><^<;1>v*>598a?!72?3h0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:c9'501=j2wvqp5f6083>!?c2<=0Z4h51zNb5?7|^;=1>v*79;42?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:708R07=:r.:954m;%363?d47l4$074>g=zutw0e;:50;&:`?303_3m6{zut1b:84?:%;g>01<^0l1=vBn1;3xR71=:r.3578:;W72>7}#9<21n6*>568a?x{zu2c=:7>5$8f912=Q1o0:wAo>:0yU62<5s-226;84V4396~"6=10i7)?:7;`8yx{z3`<<6=4+9e863>P>n3;p@l?51zT13?4|,131::5Y5081!7203h0(<;8:c9~yx{{W04>7}#000>m6X:1;0x 43?281/=8951:~yx=n=k0;6)7k:458R<`=9rFj=7?tV3596~"?13?i7[;>:3y'50>=92.:9:4>;|~y>o2k3:1(4j5569U=c<6sEk:6>2;7?4}|~?l3c290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==m1]9<4={%364}Ki80:w[<8:3y'<<<2m2\>=74=#9<=1=6sr}|9j1c<72-3o6894V8d95~Jf93;pZ?952z&;=?3a3_?:6?u+14:95>"6=>0:7psr}:m;6?6=,0n14?5Y9g82Ig628q]>:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`20c<728;1<7>t$8f95a=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<2186*>5687?x{zu2c>57>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954m;%363?d47l4$074>g=zutw0e;?50;&:`?303_3m6{zut1b:?4?:%;g>01<^0l1=vBn1;3xR71=:r.3578=;W72>7}#9<21n6*>568a?x{zu2c=?7>5$8f912=Q1o0:wAo>:0yU62<5s-226;=4V4396~"6=10i7)?:7;`8yx{z3`P>n3;p@l?51zT13?4|,131:95Y5081!7203h0(<;8:c9~yx{{W04>7}#000=96X:1;0x 43?2k1/=895b:~yx=n>?0;6)7k:458R<`=9rFj=7?tV3596~"?13<=7[;>:3y'50>=j2.:9:4m;|~y>o1?3:1(4j5569U=c<6sEk:6>2?=0Z8?52z&21=;7l4}|~?l3f290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==h1]9<4={%364}Ki80:w[<8:3y'<<<2j2\>=74=#9<=1=6sr}|9j1f<72-3o6894V8d95~Jf93;pZ?952z&;=?3d3_?:6?u+14:95>"6=>0:7psr}:k6`?6=,0n19:5Y9g82Ig628q]>:4={%::>0b<^<;1>v*>5982?!72?3;0qpsr;h7f>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886b>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm15`94?3=83:p(4j5809K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=92.:9:4>;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=<63-;>;7?4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<<192\>=74=#9<=1=6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a51>=8391<7>t$8f95a=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21;6*>5684?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10<7)?:7;58yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{4i4594?">l3?<76a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?74}Ki80:w[<8:3y'<<<212\>=70=#9<=196sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:aa5<72?0;6=u+9e866>N>82.=47??;h74>5<#1m0>;6X6f;3xHd7=9r\=57l38:7[7i:0yOe4<6s_<26?u+88815>P2938p(<;7:09'501=92wvqp5f2383>!?c2;80Z4h52zNb5?7|^?31>v*79;01?S362;q/=865d:&21254zT;g?5|^;?1>v*>57816>"6=<0:955rV3496~"6=?0>;6*>54821==z,;h;6l5rV9a97~P5=38p(<;9:308 43228?37pX=6;0x 4312<=0(<;::074?x"5j90j7pX7c;1xR73=:r.:9;4=2:&210<6=11vZ?852z&213<592.:984>599~ 7d72;1vZ5652zT11?4|,8?=6?<4$076>43?3t.9n=4<;|~?l>e290/5i47b:T:b?4|Dh;1=vX99;0x =?=0k1]9<4={%36vX=5;0x 43121h0(<;::07;?x"5j90n7psr;h:b>5<#1m03m6X6f;0xHd7=9r\=57=g<,8?>6<;7;|&1f5"6=>0:7psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>5982?!72?3;0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;75<6290;w)7k:`68L<6{elk0;694?:1y'=a<6l2B2<6g:8;29 1C5n5Y9g82Ig628q]>:4={%::>0><^<;1>v*>5987?!72?3>0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:c9'501=j2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6smdb83>1<729q/5i4>d:J:4>o203:1(4j5569U=c<6sEk:6>2<20Z8?52z&21=;7l4}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=7g=#9<=1n6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a`a<728;1<7>t$8f95a=O191b954?:%;g>01<@0i0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954m;%363?d47l4$074>g=zutw0e;?50;&:`?303_3m6{zut1b:?4?:%;g>01<^0l1=vBn1;3xR71=:r.3578=;W72>7}#9<21n6*>568a?x{zu2c=?7>5$8f912=Q1o0:wAo>:0yU62<5s-226;=4V4396~"6=10i7)?:7;`8yx{z3`P>n3;p@l?51zT13?4|,131:95Y5081!7203h0(<;8:c9~yx{{W04>7}#000=96X:1;0x 43?2k1/=895b:~yx=n>?0;6)7k:458R<`=9rFj=7?tV3596~"?13<=7[;>:3y'50>=j2.:9:4m;|~y>o1?3:1(4j5569U=c<6sEk:6>2?=0Z8?52z&21=;7l4}|~?l3f290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==h1]9<4={%364}Ki80:w[<8:3y'<<<2j2\>=7g=#9<=1n6sr}|9j1f<72-3o6894V8d95~Jf93;pZ?952z&;=?3d3_?:6?u+14:9f>"6=>0i7psr}:k6`?6=,0n19:5Y9g82Ig628q]>:4={%::>0b<^<;1>v*>5982?!72?3;0qpsr;h7f>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886b>P2938p(<;7:09'501=92wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6smdd83>47=83:p(4j51e9K=5=n=10;6)7k:458R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=<2.:9:4;;|~y>o213:1(4j5569K=f=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10i7)?:7;`8yx{z3`<;6=4+9e863>P>n3;p@l?51zT13?4|,131:=5Y5081!7203h0(<;8:c9~yx{{W04>7}#000==6X:1;0x 43?2k1/=895b:~yx=n>;0;6)7k:458R<`=9rFj=7?tV3596~"?13<97[;>:3y'50>=j2.:9:4m;|~y>o1;3:1(4j5569U=c<6sEk:6>2?90Z8?52z&21=;7l4}|~?l03290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>=1]9<4={%364}Ki80:w[<8:3y'<<<1=2\>=7g=#9<=1n6sr}|9j23<72-3o6894V8d95~Jf93;pZ?952z&;=?013_?:6?u+14:9f>"6=>0i7psr}:k53?6=,0n19:5Y9g82Ig628q]>:4={%::>31<^<;1>v*>598a?!72?3h0qpsr;h7b>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+8886f>P2938p(<;7:c9'501=j2wvqp5f5b83>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7`?S362;q/=865b:&2121]5k4>{Mc2>4}Q:>09w)66:4f8R07=:r.:954m;%363?d47?4$074>4=zutw0e8h50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wihl4?:483>5}#1m03=6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:09'501=92wvqp5f6083>!?c2<=0Z4h51zNb5?7|^;=1>v*79;42?S362;q/=8651:&212<63twvq6a72;29 {Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?74}Ki80:w[<8:3y'<<<212\>=72=#9<=1;6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a06<72;0;6=u+9e82b>N>82c>;7>5$8f912={W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj=>1<7:50;2x !?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:9<>"6=>037psr}:k6=?6=,0n19:5G9b9U=c<6sEk:6>2<30Z8?52z&21=;7m4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f13=8381<7>t$8f95c=O191b9:4?:%;g>01<3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{=6=48:183!?c28n0D4>4i4:94?">l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<202\>=7==#9<=146sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:9g>"6=>0h7psr}:k54?6=,0n19:5G9b9U=c<6sEk:6>2?:0Z8?52z&21=;7m4}|~?l06290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>81]9<4={%36{W04>7}#000=>6X:1;0x 43?2j1/=895c:~yx=n>:0;6)7k:458R<`=9rFj=7?tV3596~"?13<87[;>:3y'50>=k2.:9:4l;|~y>i?:3:1(4j5839U=c<6sEk:6>2180Z8?52z&21=<63-;>;7?4}|~?xd3?3:1>7>50z&:`?7a3A3;7d;8:18'=a<2?21d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi854?:583>5}#1m0:h6F60:k6:4={%::>0><^<;1>v*>598;?!72?320qpsr;h7:>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%364}Ki80:w[<8:3y'<<<182\>=7f=#9<=1o6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a0<<72=0;6=u+9e82`>N>82c>47>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4:8R07=:r.:9547;%363?>l3?<7[7i:0yOe4<6s_8<6?u+88854>P2938p(<;7:b9'501=k2wvqp5`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm4`83>1<729q/5i4>d:J:4>o203:1(4j5569K=f=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10h7)?:7;a8yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203i0(<;8:b9~yx{{W04>7}#000=<6X:1;0x 43?2j1/=895c:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e1C5n5Y9g82Ig628q]>:4={%::>0><^<;1>v*>598;?!72?320qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<182\>=7f=#9<=1o6sr}|9j24<72-3o6894V8d95~Jf93;pZ?952z&;=?063_?:6?u+14:9g>"6=>0h7psr}:k56?6=,0n19:5G9b9U=c<6sEk:6>2?80Z8?52z&21=;7m4}|~?l04290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>:1]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f1e=83?1<7>t$8f9<4=O191b954?:%;g>01<^0l1=vBn1;3xR71=:r.357;7;W72>7}#9<21=6*>5682?x{zu2c>57>5$8f912=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10:7)?:7;38yx{z3`<;6=4+9e863>P>n3;p@l?51zT13?4|,131:=5Y5081!7203;0(<;8:09~yx{{W04>7}#000==6X:1;0x 43?281/=8951:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e10c5<50;&:`?>53_3m6{zut1vn9k50;694?6|,0n1=i5G919j1=<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=02.:9:47;|~y>o213:1(4j5569K=f=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10h7)?:7;a8yx{z3`<;6=4+9e863>P>n3;p@l?51zT13?4|,131:=5Y5081!7203i0(<;8:b9~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj=l1<7950;2x !?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:9<>"6=>037psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598`?!72?3i0qpsr;h43>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<<192\>=7f=#9<=1o6sr}|9j27<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13<97[;>:3y'50>=k2.:9:4l;|~y>o1;3:1(4j5569U=c<6sEk:6>2?90Z8?52z&21=;7m4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%36=7<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21=6*>5682?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3th947>52;294~">l3;m7E7?;h74>5<#1m0>;65`8383>!?c2180Z4h51zNb5?7|^;=1>v*79;:1?S362;q/=8651:&212<63twvq6sm2883>1<729q/5i4>d:J:4>o203:1(4j5569K=f=Q1o0:wAo>:0yU62<5s-226864V4396~"6=1037)?:7;:8yx{z3`?26=4+9e863>N>k2\2j7?tL`395~P5?38p(575589U14<5s-;>47m4$074>f=zutw0e;>50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi>l4?:683>5}#1m0:h6F60:k6>2<20Z8?52z&21=;764}|~?l3>290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==01]9<4={%36{W04>7}#000=<6X:1;0x 43?2j1/=895c:~yx=n>80;6)7k:458R<`=9rFj=7?tV3596~"?13<:7[;>:3y'50>=k2.:9:4l;|~y>o1:3:1(4j5569K=f=Q1o0:wAo>:0yU62<5s-226;<4V4396~"6=10h7)?:7;a8yx{z3`<86=4+9e863>P>n3;p@l?51zT13?4|,131:>5Y5081!7203i0(<;8:b9~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj;h1<7=50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8651:&212<63twvq6g:9;29 1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954>;%363?747?4$074>4=zutw0qo5<7s-3o6:4={%::>=4<^<;1>v*>5982?!72?3;0qpsr;|`1`?6=<3:1N>k2\2j7?tL`395~P5?38p(575599U14<5s-;>4764$074>==zutw0e8750;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886=>P2938p(<;7:b9'501=k2wvqp5f6183>!?c2<=0Z4h51zNb5?7|^;=1>v*79;43?S362;q/=865c:&212{Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?7P>n3;p@l?51zT13?4|,131955Y5081!720320(<;8:99~yx{{W04>7}#000>56X:1;0x 43?2j1/=895c:~yx=n>90;6)7k:458L7}#9<21o6*>568`?x{zu2c==7>5$8f912=Q1o0:wAo>:0yU62<5s-226;?4V4396~"6=10h7)?:7;a8yx{z3`<96=4+9e863>N>k2\2j7?tL`395~P5?38p(575639U14<5s-;>47m4$074>f=zutw0e;=50;&:`?303_3m6{zut1d4?4?:%;g>=4<^0l1=vBn1;3xR71=:r.3576=;W72>7}#9<21=6*>5682?x{zu2wi>k4?:283>5}#1m03=6F60:k6:4={%::>0><^<;1>v*>5982?!72?3;0qpsr;h7:>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb2294?4=83:p(4j51g9K=5=n=>0;6)7k:458?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%364b<@0:0e8650;&:`?303A3h7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:99'501=02wvqp5f5883>!?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:9g>"6=>0h7psr}:k54?6=,0n19:5Y9g82Ig628q]>:4={%::>36<^<;1>v*>598`?!72?3i0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;75<0290;w)7k:0f8L<6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21o6*>568`?x{zu2c=<7>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:728R07=:r.:954l;%363?e:18'=a<2?2\2j7?tL`395~P5?38p(575609U14<5s-;>47m4$074>f=zutw0e;<50;&:`?303A3h7[7i:0yOe4<6s_8<6?u+88856>P2938p(<;7:b9'501=k2wvqp5f6283>!?c2<=0Z4h51zNb5?7|^;=1>v*79;40?S362;q/=865c:&212{Mc2>4}Q:>09w)66:908R07=:r.:954>;%363?7;I;3?l3?290/5i4:7:T:b?7|Dh;1=vX=7;0x =?==11]9<4={%364}Ki80:w[<8:3y'<<<212\>=74=#9<=1=6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a71<72;0;6=u+9e82b>N>82c>;7>5$8f912={W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj:?1<7:50;2x !?c2<=0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8658:&2121C5n5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598`?!72?3i0qpsr;h43>5<#1m0>;6X6f;3xHd7=9r\9;7l3297[7i:0yOe4<6s_8<6?u+888;6>P2938p(<;7:09'501=92wvqp5rb2494?2=83:p(4j51e9K=5=n=10;6)7k:458L7}#9<2146*>568;?x{zu2c>57>5$8f912=O1j1]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954l;%363?e47m4$074>f=zutw0c5<50;&:`?>53_3m6{zut1vn>950;694?6|,0n1=i5G919j1=<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=k2.:9:4l;|~y>o213:1(4j5569U=c<6sEk:6>2<30Z8?52z&21=;7m4}|~?l07290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f6>=83=1<7>t$8f95a=O191b954?:%;g>01<@0i0Z4h51zNb5?7|^;=1>v*79;7;?S362;q/=8658:&2121]5k4>{Mc2>4}Q:>09w)66:4;8R07=:r.:954l;%363?el3?<7[7i:0yOe4<6s_8<6?u+88855>P2938p(<;7:b9'501=k2wvqp5f6383>!?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?053_?:6?u+14:9g>"6=>0h7psr}:k57?6=,0n19:5Y9g82Ig628q]>:4={%::>35<^<;1>v*>598`?!72?3i0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;75<2290;w)7k:938L<6{W04>7}#000>46X:1;0x 43?281/=8951:~yx=n=00;6)7k:458R<`=9rFj=7?tV3596~"?13?27[;>:3y'50>=92.:9:4>;|~y>o183:1(4j5569U=c<6sEk:6>2?:0Z8?52z&21=<63-;>;7?4}|~?l06290/5i4:7:T:b?7|Dh;1=vX=7;0x =?=>81]9<4={%364}Ki80:w[<8:3y'<<=74=#9<=1=6sr}|9~f6g=8381<7>t$8f95c=O191b9:4?:%;g>01<3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{4i4:94?">l3?<7[7i:0yOe4<6s_8<6?u+8886<>P2938p(<;7:99'501=02wvqp5f5883>!?c2<=0Z4h51zNb5?7|^;=1>v*79;7:?S362;q/=865c:&2121C5n5Y9g82Ig628q]>:4={%::>36<^<;1>v*>598`?!72?3i0qpsr;n:1>5<#1m03>6X6f;3xHd7=9r\9;75<3290;w)7k:0f8L<6{W04>7}#000>46X:1;0x 43?211/=8958:~yx=n=00;6)7k:458L7}#9<21o6*>568`?x{zu2c=<7>5$8f912=Q1o0:wAo>:0yU62<5s-226;>4V4396~"6=10h7)?:7;a8yx{z3f296=4+9e8;6>P>n3;p@l?51zT13?4|,1314?5Y5081!7203;0(<;8:09~yx{4i4:94?">l3?<7E7l;W;e>4}Ki80:w[<8:3y'<<<202\>=7==#9<=146sr}|9j1<<72-3o6894V8d95~Jf93;pZ?952z&;=?3>3_?:6?u+14:9g>"6=>0h7psr}:k54?6=,0n19:5Y9g82Ig628q]>:4={%::>36<^<;1>v*>598`?!72?3i0qpsr;h42>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?=>81]9<4={%364}Ki80:w[<8:3y'<<<1:2\>=7f=#9<=1o6sr}|9l<7<72-3o65<4V8d95~Jf93;pZ?952z&;=?>53_?:6?u+14:95>"6=>0:7psr}:a7`<72=0;6=u+9e8;5>N>82c>47>5$8f912=Q1o0:wAo>:0yU62<5s-226864V4396~"6=10:7)?:7;38yx{z3`?26=4+9e863>P>n3;p@l?51zT13?4|,131945Y5081!7203;0(<;8:09~yx{{W04>7}#000=<6X:1;0x 43?281/=8951:~yx=h0;0;6)7k:908R<`=9rFj=7?tV3596~"?13297[;>:3y'50>=92.:9:4>;|~y>{e;o0;6?4?:1y'=a<6n2B2<6g:7;29 10c5<50;&:`?>53_3m6{zut1vn9>50;694?6|,0n1=i5G919j1=<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13?37[;>:3y'50>=02.:9:47;|~y>o213:1(4j5569K=f=Q1o0:wAo>:0yU62<5s-226874V4396~"6=10h7)?:7;a8yx{z3`<;6=4+9e863>P>n3;p@l?51zT13?4|,131:=5Y5081!7203i0(<;8:b9~yx{{W04>7}#0003>6X:1;0x 43?281/=8951:~yx=zj=;1<7950;2x !?c2<=0D4m4V8d95~Jf93;pZ?952z&;=?3?3_?:6?u+14:9<>"6=>037psr}:k6=?6=,0n19:5Y9g82Ig628q]>:4={%::>0?<^<;1>v*>598`?!72?3i0qpsr;h43>5<#1m0>;6F6c:T:b?7|Dh;1=vX=7;0x =?=>91]9<4={%364}Ki80:w[<8:3y'<<<192\>=7f=#9<=1o6sr}|9j27<72-3o6894H8a8R<`=9rFj=7?tV3596~"?13<97[;>:3y'50>=k2.:9:4l;|~y>o1;3:1(4j5569U=c<6sEk:6>2?90Z8?52z&21=;7m4}|~?j>5290/5i472:T:b?7|Dh;1=vX=7;0x =?=0;1]9<4={%36=7<@0:0e8650;&:`?303_3m6{zut1b944?:%;g>01<^0l1=vBn1;3xR71=:r.357;6;W72>7}#9<21=6*>5682?x{zu2e3>7>5$8f9<7=Q1o0:wAo>:0yU62<5s-2265<4V4396~"6=10:7)?:7;38yx{z3ty247>53z?:=?>43W33707n:458yv?f290>9v36a;:1?84e;3?370j3?370<6b;7:?870<3?370?84;7:?87?93?370?71;7:?87?m3?370?7e;7:?844<3?370<<4;7:?843:3?370<;2;7:?843n3?370<;f;7:?8g12<201l85589>50g==116=8o5589>6d1==116>l95589>60b==116>8j5589>63e==116>;m5589>62?==116>:75589>6=2==116>5:5589>5a?==116=i75589>5`1==116=h95589>5c5==116=k=5589>6=`==116>5h5589>55d0==116=l85589>5g2==116=o:5589>5f6==116=n>5589>5fe==116=nm5589>656==116>=>5589>65b==116>=j5589>64g==116>671==116>?95589>530==116=;85589>f1<2027i87;6;<`e>0><5kl19452cc86<>;dj3?270k<:4:89`5==016j<4:8:?e5?3>34;;<7;7;<334?3>34;;o7;7;<33g?3>34;:47;7;<3234;987;7;<310?3>34;8<7;7;<304?3>34;8o7;7;<30g?3>34;?47;7;<3734n<6864=e591<=z{<>1<7=t=479<6=Y==169;4:7:p13<72?kp1885839>6g1==116>o65599>6gd==116>l>5599>52?==116=:o5599>5=0==116=595599>5<5==116=4:5599>66g==116>>l5599>611==116>965599>602==116>895599>60>==116mo4:8:?b`?3?34km6864=07g>0><58?m6864=042>0><5;kh6864=340>0><5;<=6864=352>0><5;=n6864=3::>0><58o:6864=0g1>0><58on6864=0ge>0><58l36864=0d`>0><5;386864=0;e>0><58k;6864=0ca>0><58kn6864=0ce>0><58h26864=0`b>0><58i>6864=0f0>0><58n>6864=326>0><5;:=6864=32:>0><5;;86864=337>0><5;;m6864=303>0><5;886864=30`>0><5;8o6864=04g>0><58463l3;7;?8e12<201nh5599>`7<2027no7;7;0><5o319552f`86<>;68=0>463>0686<>;6990>463>1286<>;69j0>463>1g86<>;6:10>463>2c86<>;6;=0>463>3686<>;6<90>463>4286<>;6463>4g86<>;cj3?370jj:4:8912==1168;4:8:?726864=5`91==:463;f;7;?84>2<201?o5599>6a<20279i7;7;<12>0><5:8195523486<>;4>3?370=7:4:896d==116?n4:8:?0`?3?34>;6864=5391==z{>31<7=t=6c9<6=Y?016;4472:p6g4=838p1:75569>6g4=0;1v:850;1x921=0:1U;;52778;6>{t:0k1<7;14>5Q719>3516=:=5839~w24=839p1:=5829]37=:?;03>6s|19294?4|5>819:521929<7=z{>>1<7=t=679<6=Y?=16;9472:p5=b=838p1::5569>5=b=0;1v;o50;1x93d=0:1U:l526`8;6>{t::91<75Q6b9>2f9?50;0x93e==>16>9?5839~w3c=839p1;h5829]2`=:>l03>6s|25g94?4|5?o19:5225g9<7=z{1n1<7=t=9g9<6=Y0m164i472:p533=838p15j5569>533=0;1v?ll:18784e:3?<70=4<5;h<6874=3`;>0?<5;h26864=3`a>0?<5;3m6864=3c2>0><5;?36874=`d91<=:9?;194522``91==::hi1945227091==::>:1955226091==::>>1955226f91==::>l1955229:91==::1k195521d091<=:9oi1945228691==::0?195521`d91<=:9m?1945221;91<=:jk0>563jc;7:?8212<3019l5589>0c<21279m7;6;<0f>0?<5:8194523986=>;4l3?270:>:4;8yv4ej3:1>v3=b`863>;5jk03>6s|2cc94?5|5;hj65<4=`c91==:ih0>56s|2c694?4|5;hn6864=3`7>=452z?1f`032j70<532=0h16>lk58c9>6dc=0h16>;758c9>63?=0h16>:858c9>620=0h16>5?58c9>6=7=0h16>5m58c9>6=e=0h16=h;58c9>5`3=0h16=k?58c9>5c7=0h16=kk58c9>5cc=0h16>4958c9>6<1=0h16=l:58c9>5d2=0h16=o<58c9>5g4=0h16=ok58c9>5gc=0h16=no58c9>5fg=0h16=i958c9>5a1=0h16>=l58c9>65d=0h16><658c9>64>=0h16>?;58c9>673=0h16>>?58c9>667=0h16=:>58c9>526=0h16ni47b:?a`?>f34i365l4=b:9bae34;;576n;<321?>e34;:976n;<315?>e34;9=76n;<31`?>e34;9h76n;<30=?>e34;8576n;<371?>e34;?976n;<365?>e34;>=76n;=d<5l:14l5rs8094?ecs43965<4=3`g>77<5;h368o4=3`;>0d<5;h368m4=3`;>0b<5;h368k4=3`;>0`<5;h268o4=3`:>0d<5;h268m4=3`:>0b<5;h268k4=3`:>0`<5;k?6??4=3c3>31<5;k;68o4=3c3>0d<5;k;68m4=3c3>0b<5;k;68k4=3c3>0`<5;k:6;94=3c2>0g<5;k:68l4=3c2>0e<5;k:68j4=3c2>0c<5;k:68h4=3c1>31<5;k968o4=3c1>0d<5;k968m4=3c1>0b<5;k968k4=3c1>0`<58=n6??4=0:a>77<58336??4=31e>77<5;>h6??4=37a>77<5k81><52176964=::ho1><5227;964=::?91::5227191d=::?919o5227191f=::?919i5227191`=::?919k52264964=::>;1::5226391d=::>;19o5226391f=::>;19i5226391`=::>;19k52260922=::>819l5226091g=::>819n5226091a=::>819h5226091c=::>>1::5226691d=::>>19o5226691f=::>>19i5226691`=::>>19k52293964=::>o1::5226g91d=::>o19o5226g91f=::>o19i5226g91`=::>o19k5226d922=::>l19l5226d91g=::>l19n5226d91a=::>l19h5226d91c=::1i1><5229;922=::1319l5229;91g=::1319n5229;91a=::1319h5229;91c=::1k1::5229c91d=::1k19o5229c91f=::1k19i5229c91`=::1k19k521d7964=:9o;1><521gg964=::0=1><52281922=::0919l5228191g=::0919n5228191a=::0919h5228191c=::0>1::5228691d=::0>19o5228691f=::0>19i5228691`=::0>19k52287922=::0?19l5228791g=::0?19n5228791a=::0?19h5228791c=:9h>1><521c0964=:9ko1><521bc964=:9m=1><5221`964=::821><52237964=:::;1><52162964=:jm09=63l8;02?8e22g0<2l27h97;j;0`<5j<19n52c786`>;d>3?n70m9:4d89a2=:816h<4:c:?g5?3c34n:68k4=e391c=:l;0>h63k2;7f?8b52ba<5927:<44=1:?250<5927:><4=1:?26a<5927:?44=1:?272<1?27:?:4:a:?272<2j27:?:4:c:?272<2l27:?:4:e:?272<2n27:884=1:?207<1?27:8?4:a:?207<2j27:8?4:c:?207<2l27:8?4:e:?207<2n27:8>4:a:?206<2j27:8>4:c:?206<2l27:8>4:e:?206<2n27:9<4=1:?20`<2i27:8h4:b:?20`<2k27:8h4:d:?20`<2m27:8h4:f:?20c<2j27:8k4:c:?20c<2l27:8k4:e:?20c<2n27n<7<>;0b<5mn19h52de86b>;cm3?n70jj:4d8yv4e=3:1>v3=b586<>;5j<03>6s|2c494?4|5;h?6874=3`5>=4570y>6g1=>916>o65619>6g?==016>ol5619>64h5589>6d6==016>l?5589>6d4==116=:o5589>52d==116=:m5599>5=1==016=565599>5=?==116=4:5589>5<3==116=485599>66d==016>>m5599>66b==116>965589>61?==116>9o5599>602==016>8;5599>601==016>865619>ea<2127ji7;7;36<58?m6874=043>0><58<:6;>4=3c`>36<5;<96874=340>0?<5;=;6874=352>0?<5;=96874=357>0?<5;=o6874=35f>0?<5;=m6874=3:;>0?<5;226874=3:b>0?<58nn6864=0g2>0?<58o96;>4=0g`>0><58oo6864=0gf>0?<58om6874=0d:>0><58lh6;>4=3;0>0?<5;3?6874=3;6>0?<58k;6874=0c2>0><58k96864=0ca>0?<58kh6864=0cf>0?<58km6;>4=0`b>0?<58hi6864=0``>0><58i=6864=0a4>0><58n:6864=0f1>0><58n86874=0f6>36<5;:=6874=324>0><5;:26;>4=337>0?<5;;>6864=335>0><5;8;6874=302>0><5;886874=30g>0?<5;8n6864=30e>0><580><580?<5kh1:=52ec86<>;bk3<;70:;:4;8910=>916844:9:?7e?3?34>i6;>4=5g91<=:6`<18278=7;6;<11>36<5:<194523686<>;403<;70=m:4;8916==0168<490:pe0<72>2p1?l8:73897d?2?;01?l6:72897?a2?:01?o?:72897g62?:01?o=:4;8941>2<301<9m:4;8941d2<301<69:4;894>?2<301<66:4;894?42<301<7::4;894?12<301?=n:4;8975d2<301?=k:4;897202<301?:6:4;8972f2<301?;::4;8973?2?;01l;5839>eg<2127ji7;6;37<58?o6874=043>0?<58<:6;?4=3ca>0?<5;kh6;?4=341>36<5;<86;>4=345>0?<5;=;6;>4=352>36<5;=96;>4=357>36<5;=o6;>4=35f>36<5;=m6;>4=3:;>36<5;226;>4=3:b>36<58o:6;>4=0g1>37<58on6;>4=0ge>36<58lj6864=0d`>37<5;386;>4=3;7>36<5;3>6;>4=0;e>0?<58k:6874=0c1>0?<58kh6874=0ce>37<58h26874=0`a>0?<58hh6874=0a;>0><58n86;>4=0f6>37<5;:>6874=324>0?<5;:26;?4=330>0?<5;;>6874=335>0?<5;;m6874=302>0?<5;8h6874=30f>0?<5;8m6874=04g>36<584=c;91<=:jk0==63l4;7;?8e12<301i>5599>`7<2127no78>;0><5o319452f`86=>;68<0>463>0686=>;6980>463>1286=>;69m0>463>1g86=>;6:00>463>2c86=>;6;<0>463>3686=>;6<80>463>4286=>;6463>4g86=>;ck3?370jj:4;8910=>816854:9:?7e?3>34>i6;?4=5d924=::h0==63=e;42?8552?;01>;5589>72<21278478>;<1a>36<5:i194523e854>;393<:7p}>5883>0d|5;h<6;<4=3`;>34<5;h26;?4=3`a>37<5;3m6;?4=3c3>37<5;k:6;?4=3c1>36<5;?36;<4=`d927=:9<314?52173927=::hh1:=522`a927=::?81:<52271924=::??19552262924=::>;1:<52260924=::>>1:<5226f924=::>o1:<5226d924=::121:<5229;924=::1k1:<521eg91<=:9ml195521d0927=:9li194521df91<=:9o2194521gc91<=:9oi1:?52281924=::0>1:<52287924=:9hl1:?521b791<=:9j2194521e391<=:9m81945221;927=:9?h1945217a91<=:j10>463mb;41?8e42<301n:5589>g0<2027hj7;6;0?<5m;19552e986<>;b13?370h9:4;89c1==016==:5589>553==016==85599>546==016=544==116=54b==016=57>==016=?75589>57g==116=>:5589>563==016=>85599>516==016=9?5589>514==116=9m5589>51b==016=9k5599>`g<2127oo7;6;0><5=<1:?524c856>;3n3<970;16??492:?05348jn78>;<0bg?04348=>78=;<044?0534878<;<3eg?043482978=;<3bb?0434;o978=;<03=?0434hi6;=4=da927=:6`<1;278>78<;<1;>35<5:n1:?5240857>{t:k=1<7=4<5;h=6864}r06g?6=9=q6>o65629>6g?=>:16>l>5639>6d7=>;16>l<5639>60e=0;16>;=5639>626=>:16>:?5639>624=>;16>::5639>62b=>:16>:k5639>62`=>;16>565629>6=?=>;16>5o5639>6<5=>;16>4:5639>6<3=>:1v?8m:1827~;5j10=863=b8850>;5i90=?63=a0857>;5i;0=?63=62857>;5>k03>63=70857>;5?;0=?63=75857>;5?m0=863=7d857>;5?o0=?63=89850>;5000=?63=8`857>;51:0=?63=95857>;51<0=86s|26:94?75s48i478:;<0a=?02348j<78;;<0b5?03348j>78;;<057?03348<=78;;<046?03348<878;;<0453484?:03x97d?2?<01?l6:74897g72??01?o>:77897g52??01?8<:77897162??01?9=:77897132??01?9j:778971a2??01?6<:90897>>2??01?6n:77897?42??01?7;:77897?22?<0q~<7e;2955}::k21::522c;922=::h:1:;522`3923=::h81:;52271923=::>;1:;52260923=::>>1:;5226g923=::>l1:;5229;923=::1k1:;5229g9<7=::091:;52286923=z{;h36=4={<0a5348i:7;6;|q1f<<72;q6>o75839>6g0=>91v?o<:18784>i3?<70<69;74?84f=3?2705<5s48j976=;<0b0?303ty95o4?:3y>6d2=:;16>4l5839~w7?c2909w0<6c;7;?84>l3297p}=9d83>7}::0i1945228g9<7=z{;3m6=4={<0:b?>53482i7;7;|q1e5<72;q6>l>5839>6:18184f932970<6e;43?xu5i;0;6?u22`09<7=::0o1:<5rs05g>5<4s4;52e=>916>8:5619>603=>9168h490:p523=838p1<9j:45894122180q~?84;296~;6?l09>63>758;6>{t9><1<70><58==65<4}r343?6=:r7:;84:9:?23252>==116=:65589>0a78854>;d=3?270m9:7289a7==016h?490:?26c3652z?23<>2?:01?75619~w41f2909w0?8a;:1?870?3<;7p}>7c83>7}:9>h14?52165924=z{8=h6=4={<34g?>534;<;78=;|q25569>52`==>16=5l5839~w4>52909w0?7b;74?87?:3297p}>8083>7}:91h1>?521939<7=z{8286=4={<3;6?3?34;3?76=;|q2<1<72;q6=5<5589>5=2=0;1v?650;1x94>22<201<6::4;897>=0;1v<6::18187?=32970?74;7;?xu6;k0;6nu2194925=:k<0=<63l6;42?8b62?:01i<5609>56d=0;16=9>5619>517=>916=9k5619>51`=>816hi490:?ga?063ty:4;4?:3y>5=0=0;16=5:5589~w4>d290>w0?77;43?87?03<;70?7c;:1?87>>3<;70v3>868;6>;60=0=<6s|19:94?4|582365<4=0:7>3752z?2<<d2<=01<77:908yv7?n3:1>v3>99863>;60o03>6s|19g94?4|58336?<4=0:f>=452z?2:3?370?62;7:?84d2180q~?62;296~;61;03>63>9086<>{t9==1<7ot=0;0>36<5j?1:<52c7856>;c93<:70j=:708942021801<:l:728942c2?:01ij5609>``<1:2wx=4=50;0x94?421801<7>:4;8yv7>13:19v3>95854>;61<0=<63>988;6>;6i;0=<63<1;43?xu61=0;6?u21869<7=:90;1:=5rs0;6>5<5s4;2976=;<3:5?063ty:5;4?:3y>5<0=0;16=4?5639~w75b2908w0<<3;74?844:3?<70<{t::?1<701<5;9>65<4}r000?6=:r79?k4=2:?171>850;0x97522<201?=9:908yv44?3:1>v3=3486=>;5;>03>6s|4283>6}:::21955222:91<=:<:03>6s|22:94?4|5;9365<4=314>0>>6=4<{<00=?3?348857;6;<66>=452z?17<`4<1:27o>78<;<33f?>534;:<78?;<325?0734;:i7;6;<32b?0734;9m7;6;<31f?0734;8:7;6;<303?0734;?>78?;<377?0634;?i78>;<37b?0534no6;<4=eg926=z{;9j6=4={<00e?>53488;78?;|q105<72>l5619>66e=>916>9>5839>61g=>916>895619~w75e2909w0<7}:::i14?52225927=z{;9o6=4={<00`?>53488;78<;|q10g<72:q6>9?5569>616==>16>9m5839~w7242909w0<;c;74?843;3297p}=4383>7}::=i1>?522509<7=z{;>?6=4={<077?3?348?876=;|q100<72;q6>9=5589>613=0;1v?;9:180843>3?370<;6;7:?842>3297p}=4783>7}::=<14?5225791==z{8;<6=4>2z?102<1827h978<;32<5m;1:>52d3850>;69>03>63>1b854>;69m0=<63>2`854>;6:k0==63>37854>;6;>0==63>43855>;6<:0=>63>4d856>;60q~<;7;296~;5<>03>63=4486=>{t:=n1<7;t=36;>36<5;>26;>4=36g>=4<5=31:=524`854>{t:=21<7=4<5;>>6;>4}r07=?6=:r7984472:?100<192wx>9o50;0x972f21801?:::708yv42i3:1?v3=4d863>;5;63=5c8;6>{t:<31<701<5;?265<4}r064?6=:r799o4:7:?1159h50;0x973e2;801?:i:908yv4293:1>v3=5186<>;5=803>6s|24094?4|5;?;6874=371>=4<6=4<{<067?3?348>?7;6;<64>=4?7>52z?11663=53854>{t:<=1<7=4<5;?26864}r06e0<2?27i>76=;|qa4?6=:r7jo7;8;<`3>=4=4<5;:?6864=327>0?01<5h=14?5rs`494?4|5k81>?52a78;6>{ti10;6?u2a686<>;f03297p}n9;296~;f?3?270o6:908yvgf2909w0on:9089d?==11vll50;0x9dd=0;16m44:9:p5c`=83>p1lj5619>e`<1827:j44:9:?2bcea0?=4<5k:1:=5rs040>5<5s4;>57;8;<350?>53ty::?4?:3y>50c==>16=;<5839~w43b2908w0?:e;:1?846m3?370<>e;7:?xu6=k0;6?u2176912=:95<5s4;=87<=;<36e?>53ty:9n4?:3y>50d==116=8m5839~w43c2909w0?:b;7:?872l3297p}=1883>0}:91:=52207925=::8314?5rs07e>5<5s4;>j76=;<356?3?3ty::=4?:3y>536=0;16=;<5589~w4062909w0?91;:1?871:3<;7p}=ae83>6}::h<19:522`d91<=::ho14?5rs3c;>5<5s48jj7;7;<0b53ty9mk4?:3y>6d`=0;16>lk5569~w7g02909w07}::h2195522`;9<7=z{;kj6=4={<0b348jm76=;|q1eg<72;q6>ll5839>6dg==11v?ol:18184fk3297010;6>u224a912=::?k1945227;9<7=z{;<<6=4={<050?30348=;76=;|q121<72:q6>;:5839>b0<2027m97;6;|q11`<72;q6>;o5599>60c=0;1v?8n:181841i32970<99;74?xu5=m0;6?u227;967=::5<5s48>i7;7;<06b?>53ty9:=4?:3y>60c==016>;>5839~w7142908w0<91;7;?84193?270<83;:1?xu5>80;6?u22739<7=::?:1955rs341>5<5s48=>76=;<054?3>3ty9:>4?:3y>635=0;16>;>5619~wg5=83;nw0<95;7:?841>3<;70f<<1827h978;;33<5m;1:952d3851>;b03?270k6:4;89c?=>916jl490:?243<2127:<:490:?257<2127:=>490:?25`<1827:=k491:?26d<1927:>o492:?273<1927:?:492:?207<1:27:8>493:?20`<1;27:8k494:?g`?0334nn6;;4}rg1>5<6kr79:8490:?123<19279=>490:?`1?0234i=6;84=e3920=:l;0=:63j2;:1?8c?2?:01h75619>b<<1927mm78>;<332?0734;;;78>;<326?0734;:?78>;<32a?0634;:j78=;<31e?0534;9n78<;<302?0534;8;78<;<376?0434;??78;;<37a?0334;?j78:;33<5mo1:;5rs346>5<5s48=976=;<053?3?3ty9:;4?:3y>630=0;16>;95589~w7122908w0<9b;74?840?3?270<86;:1?xu5?=0;6?u2261912=::>>14?5rs34g>5<5s48<;7;7;<05`?>53ty9;:4?:3y>621=0;16>:85569~w70d2909w0<86;01?841k3297p}=6d83>7}::?n1955227g9<7=z{;348=j76=;|q135<72;q6>:>5839>63`==11v?9>:181840932970<9f;7:?xu5?;0;6?u22609<7=::?l1:=5rs3:3>5<4s48<47;8;<0;6?3>3483=76=;|q13d<72;q6>5<5599>62g=0;1v?6=:18184?:32970<71;74?xu5?00;6?u2293967=::>314?5rs35a>5<5s4853ty9;n4?:3y>62g==016>:m5839~w71c2909w0<8d;:1?840k3?37p}=7d83>7}::>o14?5226a91<=z{;=m6=4={<04b?>53485=5569>6=b==016>5m5839~w7>22909w0<7d;7;?84?=3297p}=8e83>7}::1n14?5229a912=z{;2?6=4={<0;g?453483876=;|q1<3<72;q6>5;5599>6=0=0;1v?68:18184?=3?270<77;:1?xu5010;6?u229:9<7=::1=1955rs3::>5<5s483576=;<0;3?3>3ty94l4?:3y>6=g=0;16>595619~w4c32909w0?k8;74?87b=3297p}>d983>0}:9m214?521ed91<=:9m;1:=521e1924=:;j0=<6s|1d194?4|58o;6894=0g0>=453z?2a5v3>e4816>;6l003>6s|1e`94?4|58nj6864=0fa>=452z?2`d<2127:hn472:p7d<72:q6=ij5599>5ab==016?l472:p5ab=838p163>db86=>{t9ml1<7=4<58nh6;>4}r3`f?6=v3>e38;6>;6m:0>56s|1g294?4|58o=6894=0d2>=455z?2a3v3>f0816>;6m>03>6s|1d;94?4|58o36864=0g:>=452z?2a=<2127:il472:p5cd=839p1v3>ec8;6>;6mh0>46s|1g094?2|58oh6;>4=0gf>37<58l965<4=d`91<=z{8oh6=4={<3fg?>534;nm7;6;|q2aa<72;q6=hj5839>5`g=>91v5<5s4;m>7;8;<3ea?>53ty:jn4?:3y>5cd==>16=km5839~w4`32909w0?ie;74?87a<3297p}>f283>7}:9oo1>?521g19<7=z{8l>6=4={<3e0?3?34;m976=;|q2b3<72;q6=k:5589>5c0=0;1vho50;1x94`02<2015<5s4;m576=;<3e2?073ty:jl4?:3y>5cg=0;16=k85609~w7?12908w0<7e;74?84>03?270<67;:1?xu5190;6?u228:91==::0:14?5rs3;;>5<5s482476=;<0:3?303ty94k4?:3y>6<1=:;16>5h5839~w7?62909w0<60;7;?84>93297p}=9383>7}::0:194522809<7=z{;386=4={<0:7?>53482>7;7;|q1=1<72;q6>4:5839>6<4==01v?7::18184>=32970<62;43?xu6i:0;6?u218;912=:9h>14?5rs0;a>5<5s4;j87;8;<3:f?>53ty:5l4?:3y>5d2=:;16=4o5839~w4?d2909w0?6b;7;?87>k3297p}>9e83>7}:90h1945218f9<7=z{::1<7=t=0;f>0><583n6874=229<7=z{83n6=4={<3:a?>534;2h7;7;|qaa?6=0r7:5k490:?aa?>534i86;>4=b6925=:l80=:63k2;44?8bc2?<01ik5669~w4?a2909w0?6f;:1?87>l3?27p}>a483>0}:9h:1:=521`3925=:9h?14?5237854>;4?3<;7p}>a183>7}:9h:14?5218f925=z{8k:6=4={<3b5?>534;2h78>;|q2e7<72;q6=l<5839>5;1v:18187f=3?<70?m2;:1?xu6j90;6?u21`f912=:9k:14?5rs0cg>5<4s4;jh76=;<3a5d1=0;1v5<5s4;j;7;6;<3b=?>53ty887>53z?2ed<2027:ml4:9:?00?>53ty:ml4?:3y>5dg=0;16=l75599~w4d4290>w0?nb;43?87fk3<;70?ne;43?87e;32970?mc;43?xu6ik0;6?u21``9<7=:9h31945rs0c`>5<5s4;jo76=;<3b=?073ty:mh4?:3y>5dc=0;16=o>5599~w4ga2909w0?nf;:1?87e83?27p}>be83>7}:9k919:521cg9<7=z{8h>6=4={<3aa?3034;i976=;|q2f1<72;q6=ok5239>5g2=0;1v0;6?u21c791<=:9k=14?5rs0`;>5<5s4;i476=;<3a3?3?3tyo:7>54z?2f<<1827o:76=;36<5mi1:=5rs0`:>5<5s4;i576=;<3a3?3>3ty:nk4?:5y>5gg=>916=ol5619>5g`=0;16=n95589~w4df2909w0?ma;:1?87e?3<;7p}>bc83>7}:9kh14?521c5924=z{8hh6=4={<3ag?>534;i;78=;|q2g<<72;q6=oh5569>5fg=0;1v:18187di3?<70?l1;:1?xu6k90;6?u21bc967=:9j:14?5rs0a1>5<5s4;h=7;7;<3`6?>53ty:o>4?:3y>5f7==016=n=5839~w4b32908w0?l4;7;?87d<3?270?k4;:1?xu6k=0;6?u21b69<7=:9j91955rs0a6>5<5s4;h976=;<3`7?3>3ty:o;4?:3y>5f0=0;16=n=5619~w4e02909w0?l7;:1?87d;3<:7p}>c983>7}:9j214?521b1927=z{8n=6=4={<3`f?3034;o;76=;|q2`0<72;q6=i:5569>5a3=0;1v5<5s4;hh7;7;<3`a?>53ty:ok4?:3y>5fb==016=nh5839~w4b72909w0?k0;:1?87dn3?37p}>d083>7}:9m;14?521bd91<=z{8n96=4={<3g6?>534;hj78?;|q2`6<72;q6=i=5839>5f`=>81v?>n:18187an3?<705<4s48;476=;<025?3?348:=7;6;|q144<72;q6>=l5569>657=0;1v?>?:181847j389705<5s48;=7;6;<037?>53ty9<94?:3y>652=0;16>==5599~w7622909w00}::9<1:=52215925=::9i14?52204925=::;91:=5rs325>5<5s48;:76=;<037?073ty9<:4?:3y>651=0;16>==5609~w7702909w07}::8219:5221g9<7=z{;:o6=4={<02=k5599>65`=0;1v???:181847m3?270<>0;:1?xu5980;6?u22039<7=::8:1955rs301>5<4s48:>7;7;<026?3>3489>76=;|q157<72;q6><<5839>646==01v??<:181846;32970<>0;43?xu59=0;6?u22069<7=::8:1:<5rs336>5<5s48:976=;<024?053ty9=;4?:3y>640=0;16><>5629~w7432909w0<>9;74?845=3297p}=2283>7}::;819:522319<7=z{;;i6=4={<011?30348:n76=;|q15d<72;q6>?;5239>64g=0;1v??l:181846j3?370<>c;:1?xu59m0;6?u220`91<=::8n14?5rs33f>5<5s48:i76=;<02`?3?3tym<7>519y>64`=>916o8496:?`2?0034n:6;94=e091d=:n903>63i6;43?8`02?:01<>9:73894602?80101<=9:71894502?>01<:=:76894242??01<:j:778942a2?<01ij5669>``<2i2wx>3:19v3=21854>;5:80=<63=278;6>;5:o0=<63;0;43?xu5:90;6?u22329<7=::8n1:=5rs302>5<5s489=76=;<02`?063ty9?=4?:3y>670==>16>>?5839~w74?2909w0<<1;74?84503297p}=2683>7}:::;1>?522359<7=z{;826=4={<01?65589>67g=0;1v>h50;1x974e2<201?bcl494:?26g<1=27:?;494:?272<1=27:8?495:?206<1>27:8h496:?20c<1?27oh7;n;0d52z?16fl4:9:p67b=838p1?63=2`855>{t:;l1<7=4<5;8j6;<4}r35b?6=;r7::84:7:?234<2?27:;=472:p527=839p1<9>:908940d2?:01<8j:738yv71?3:1>v3>71863>;6>>03>6s|17494?4|58=;6?<4=045>=452z?222<2027::5472:p53?=838p1<88:4;8940>2180q~ln:180871i3?370?9a;7:?8df2180q~?9a;296~;6>h03>63>6886<>{t9?h1<7=4<58<26874}r35g?6=:r7::n472:?22<<182wx=;j50;0x940c21801<86:738yv71m3:1>v3>6d8;6>;6>00=>6s|bb83>7}:j:0>;63md;:1?xuej3:1>v3ma;74?8de2180q~l::1818dc2<=01o;5839~wg2=838p1oj5239>f1f0<2027i:76=;|qa3?6=:r7i97;6;<`4>=4=4<5k=1955rsc;94?4|5k314?52b686=>{tk>0;6>u2bd863>;d13?270m7:908yve72909w0m6:4:89f6=0;1vn750;0x9f?=0;16o54:7:pfc<72;q6o54=2:?ab?>53tyh=7>52z?`4?3?34i:65<4}ra1>5<5s4i;6874=b09<7=z{j91<746s|c583>7}:k=03>63l2;7:?xu6::0;6<>t=b791d=:k?0>n63k1;7a?8b5252z?`1?>534i96;>4}ra5>5<5s4i=65<4=b0924=z{m91<7=t=bc912=:l<0>563k4;:1?xudi3:1:v3la;:1?8ea2?:01i>5619>`a<2k27oi7;k;<16>360><5ji14?5rse794?4|5m?14?52d5863>{tkk0;6?u2d5816>;dj3297p}ld;296~;dk3?370mk:908yveb2909w0ml:4;89fc=0;1vnh50;0x9f`=0;16oh4:8:p`5<72;q6h=472:?`a?3>3tyo=7>52z?g5?>534in6;>4}rf1>5<5s4n965<4=bg924=z{lo1<76s|ee83>7}:mh0>;63jd;:1?xub<3:1>v3jf;74?8c32180q~k<:1818ca2;801h=5839~w`3=838p1h:5599>a0a1<2127n:76=;|qe0?<5o214?5rsd594?4|5l=14?52e786<>{tm10;6?u2e98;6>;b>3?27p}j9;296~;b132970k9:728yvce2909w0km:9089`b==11vhm50;0x9`e=0;16ii4:9:pbf<72:q6j=4:7:?ea?3>34lo65<4}rda>5<5s4l36894=g`9<7=z{o81<76s|fd83>7}:nl03>63id;74?xua93:1>v3id;01?8`62180q~h<:1818`52<201k=5839~wc2=838p1k<5589>b1b00?=4<5o>1:=5rsg;94?4|5o314?52fc86<>{tnh0;6?u2f`8;6>;aj3?27p}>0983>6}:no0>;63>0`86=>;68003>6s|11394?4|58:j6864=022>=452z?24d6:30894672180q~??2;296~;6880>463>038;6>{t9991<70?<58:865<4}r330?6=:r7:<9472:?246<202wx==;50;0x946221801<><:4;8yv77>3:1>v3>078;6>;68:0=<6s|11594?4|58:<65<4=020>3753z?24g<2?27:=;4:9:?250k:908yv76>3:1>v3>178;6>;69<0>;6s|11a94?4|58;>6?<4=02`>=452z?24a<2027:k:4;8946a2180q~?>0;296~;69903>63>0g86<>{t98;1<7=4<58:m6874}r326?6=:r7:=?472:?24c<182wx=<=50;0x947421801<>i:738yv7583:1?v3>16863>;6:;0>563>208;6>{t9831<70><58;265<4}r316?6=:r7:>?472:?264<2?2wx=<650;0x94462;801v3>1886<>;69h03>6s|10`94?4|58;26874=03a>=452z?25fe;296~;69l03>63>1c854>{t98l1<7=4<58;i6;?4}r31g?6=;r7:>>4:7:?26`<2127:>i472:p573=838p1<63>2e863>{t9;>1<774<588?65<4}r312?6=:r7:>84:8:?263v3>298;6>;6:>0>46s|13;94?4|588265<4=004>0?52z?26d:490:p57d=838p1<;63>3`86=>;6;003>6s|12394?4|589j6864=012>=452z?27d463>338;6>{t9:91<70?<589865<4}r300?6=:r7:?9472:?276<202wx=>;50;0x945221801<=<:4;8yv74>3:1>v3>378;6>;6;:0=<6s|12594?4|589<65<4=010>3753z?27g<2?27:8;4:9:?200j50;0x94212<201<=k:908yv73>3:1>v3>478;6>;6<<0>;6s|12a94?4|58>>6?<4=01`>=452z?27a<2027:?h472:p56`=838p1<=k:4;8945a2180q~?;0;296~;6<903>63>3g86<>{t9=;1<7=4<589m6874}r376?6=:r7:8?472:?27c<182wx=9=50;0x942421801<=i:738yv7283:1?v3>46863>;6=;0>563>508;6>{t9=31<70><58>265<4}r366?6=:r7:9?472:?214<2?2wx=9650;0x94362;801<:7:908yv73i3:1>v3>4886<>;66s|15`94?4|58>26874=06a>=452z?20f63>4c854>{t9=l1<7=4<58>i6;?4}rfe>5<4s4n=6894=d391<=:m903>6s|d983>7}:m80>463k8;:1?xub93:1>v3j1;:1?8c72<=0q~j8:1818c72;801i95839~wa?=838p1i65599>`<`=<2127om76=;|qgf?6=:r7on76=;0>=4<5mk1945rsef94?4|5mn14?52d`854>{tll0;6?u2dd8;6>;ci3<:7p};4;296~;3;3?<70:;:908yv212909w0:::458910=0;1v9m50;0x911==>168n472:p0=<72;q685472:?7g?3?3ty?57>52z?7=?>534>h6874}r6b>5<5s4>j65<4=5a925=z{=h1<77}:;63:0;:1?xu3m3:1>v3;e;:1?8372<20q~:i:18182a218018>5589~w7d=838p1?65569>6g44?:3y>6<0?01<5;l14?5rs3f94?4|5;n14?522g86<>{t:l0;6?u22d8;6>;5n3?27p}<3;296~;483?<70=<:908yv562909w0=>:908965==11v><50;0x964=0;16?>4:9:p7<<72;q6?94:7:?0=?>53ty897>52z?01?>534926864}r15>5<5s49=65<4=2;91<=z{:=1<77}:;103>63<9;42?xu4m3:1>v3k5599~w6e=838p1>m5839>7`<212wx?i4?:3y>7a=4;6=4={<63>=4<5=81955rs5394?4|5=;14?524386=>{zf;l2?7>51zm6c?3290:wp`=f8794?7|ug8m5;4?:0y~j7`>?3:1=vsa2g;;>5<6std9j4750;3xyk4a1h0;6k7l:182xh5n0n1<7?t}o0e=`<728qvb?h6f;295~{i:ok;6=4>{|l1bd7=83;pqc4}zf;lj?7>51zm6cg3290:wp`=f`794?7|ug8mm;4?:0y~j7`f?3:1=vsa2gc;>5<6std9jl750;3xyk4aih0;6kol:182xh5nhn1<7?t}o0ee`<728qvb?hnf;295~{i:oh;6=4>{|l1bg7=83;pqc4}zf;li?7>51zm6cd3290:wp`=fc794?7|ug8mn;4?:0y~j7`e?3:1=vsa2g`;>5<6std9jo750;3xyk4ajh0;6kll:182xh5nkn1<7?t}o0ef`<728qvb?hmf;295~{i:oi;6=4>{|l1bf7=83;pqc4}zf;lh?7>51zm6ce3290:wp`=fb794?7|ug8mo;4?:0y~j7`d?3:1=vsa2ga;>5<6std9jn750;3xyk4akh0;6kml:182xh5njn1<7?t}o0eg`<728qvb?hlf;295~{i:on;6=4>{|l1ba7=83;pqc4}zf;lo?7>51zm6cb3290:wp`=fe794?7|ug8mh;4?:0y~j7`c?3:1=vsa2gf;>5<6std9ji750;3xyk4alh0;6kjl:182xh5nmn1<7?t}o0e``<728qvb?hkf;295~{i:oo;6=4>{|l1b`7=83;pqc4}zf;ln?7>51zm6cc3290:wp`=fd794?7|ug8mi;4?:0y~j7`b?3:1=vsa2gg;>5<6std9jh750;3xyk4amh0;6kkl:182xh5nln1<7?t}o0ea`<728qvb?hjf;295~{i:ol;6=4>{|l1bc7=83;pqc4}zf;lm?7>51zm6c`3290:wp`=fg794?7|ug8mj;4?:0y~j7`a?3:1=vsa2gd;>5<6std9jk750;3xyk4anh0;6khl:182xh5non1<7?t}o0eb`<728qvb?hif;295~{i;9:;6=4>{|l0457=83;pqc=?0383>4}zf::;?7>51zm7563290:wp`<01794?7|ug9;<;4?:0y~j667?3:1=vsa312;>5<6std8<=750;3xyk578h0;6l:182xh489n1<7?t}o134`<728qvb>>?f;295~{i;9;;6=4>{|l0447=83;pqc=?1383>4}zf:::?7>51zm7573290:wp`<00794?7|ug9;=;4?:0y~j666?3:1=vsa313;>5<6std8<<750;3xyk579h0;6>>f;295~{i;98;6=4>{|l0477=83;pqc=?2383>4}zf::9?7>51zm7543290:wp`<03794?7|ug9;>;4?:0y~j665?3:1=vsa310;>5<6std8>=f;295~{i;99;6=4>{|l0467=83;pqc=?3383>4}zf::8?7>51zm7553290:wp`<02794?7|ug9;?;4?:0y~j664?3:1=vsa311;>5<6std8<>750;3xyk57;h0;6>;6=4>{|l0417=83;pqc=?4383>4}zf::??7>51zm7523290:wp`<05794?7|ug9;8;4?:0y~j663?3:1=vsa316;>5<6std8<9750;3xyk57>;f;295~{i;9?;6=4>{|l0407=83;pqc=?5383>4}zf::>?7>51zm7533290:wp`<04794?7|ug9;9;4?:0y~j662?3:1=vsa317;>5<6std8<8750;3xyk57=h0;6>:f;295~{i;9<;6=4>{|l0437=83;pqc=?6383>4}zf::=?7>51zm7503290:wp`<07794?7|ug9;:;4?:0y~j661?3:1=vsa314;>5<6std8<;750;3xyk57>h0;6>9f;295~{i;9=;6=4>{|l0427=83;pqc=?7383>4}zf::51zm7513290:wp`<06794?7|ug9;;;4?:0y~j660?3:1=vsa315;>5<6std8<:750;3xyk57?h0;6n1<7?t}o133`<728qvb>>8f;295~{i;92;6=4>{|l04=7=83;pqc=?8383>4}zf::3?7>51zm75>3290:wp`<09794?7|ug9;4;4?:0y~j66??3:1=vsa31:;>5<6std8<5750;3xyk570h0;6>7f;295~{i;93;6=4>{|l04<7=83;pqc=?9383>4}zf::2?7>51zm75?3290:wp`<08794?7|ug9;5;4?:0y~j66>?3:1=vsa31;;>5<6std8<4750;3xyk571h0;6>6f;295~{i;9k;6=4>{|l04d7=83;pqc=?a383>4}zf::j?7>51zm75g3290:wp`<0`794?7|ug9;m;4?:0y~j66f?3:1=vsa31c;>5<6std8>nf;295~{i;9h;6=4>{|l04g7=83;pqc=?b383>4}zf::i?7>51zm75d3290:wp`<0c794?7|ug9;n;4?:0y~j66e?3:1=vsa31`;>5<6std8>mf;295~{i;9i;6=4>{|l04f7=83;pqc=?c383>4}zf::h?7>51zm75e3290:wp`<0b794?7|ug9;o;4?:0y~j66d?3:1=vsa31a;>5<6std8>lf;295~{i;9n;6=4>{|l04a7=83;pqc=?d383>4}zf::o?7>51zm75b3290:wp`<0e794?7|ug9;h;4?:0y~j66c?3:1=vsa31f;>5<6std8>kf;295~{i;9o;6=4>{|l04`7=83;pqc=?e383>4}zf::n?7>51zm75c3290:wp`<0d794?7|ug9;i;4?:0y~j66b?3:1=vsa31g;>5<6std8>jf;295~{i;9l;6=4>{|l04c7=83;pqc=?f383>4}zf::m?7>51zm75`3290:wp`<0g794?7|ug9;j;4?:0y~j66a?3:1=vsa31d;>5<6std8>if;295~{i;8:;6=4>{|l0557=83;pqc=>0383>4}zf:;;?7>51zm7463290:wp`<11794?7|ug9:<;4?:0y~j677?3:1=vsa302;>5<6std8==750;3xyk568h0;6l:182xh499n1<7?t}o124`<728qvb>??f;295~{i;8;;6=4>{|l0547=83;pqc=>1383>4}zf:;:?7>51zm7473290:wp`<10794?7|ug9:=;4?:0y~j676?3:1=vsa303;>5<6std8=<750;3xyk569h0;6?>f;295~{i;88;6=4>{|l0577=83;pqc=>2383>4}zf:;9?7>51zm7443290:wp`<13794?7|ug9:>;4?:0y~j675?3:1=vsa300;>5<6std8=?750;3xyk56:h0;6?=f;295~{i;89;6=4>{|l0567=83;pqc=>3383>4}zf:;8?7>51zm7453290:wp`<12794?7|ug9:?;4?:0y~j674?3:1=vsa301;>5<6std8=>750;3xyk56;h0;6?;6=4>{|l0517=83;pqc=>4383>4}zf:;??7>51zm7423290:wp`<15794?7|ug9:8;4?:0y~j673?3:1=vsa306;>5<6std8=9750;3xyk56?;f;295~{i;8?;6=4>{|l0507=83;pqc=>5383>4}zf:;>?7>51zm7433290:wp`<14794?7|ug9:9;4?:0y~j672?3:1=vsa307;>5<6std8=8750;3xyk56=h0;6?:f;295~{i;8<;6=4>{|l0537=83;pqc=>6383>4}zf:;=?7>51zm7403290:wp`<17794?7|ug9::;4?:0y~j671?3:1=vsa304;>5<6std8=;750;3xyk56>h0;6?9f;295~{i;8=;6=4>{|l0527=83;pqc=>7383>4}zf:;51zm7413290:wp`<16794?7|ug9:;;4?:0y~j670?3:1=vsa305;>5<6std8=:750;3xyk56?h0;6n1<7?t}o123`<728qvb>?8f;295~{i;82;6=4>{|l05=7=83;pqc=>8383>4}zf:;3?7>51zm74>3290:wp`<19794?7|ug9:4;4?:0y~j67??3:1=vsa30:;>5<6std8=5750;3xyk560h0;6?7f;295~{i;83;6=4>{|l05<7=83;pqc=>9383>4}zf:;2?7>51zm74?3290:wp`<18794?7|ug9:5;4?:0y~j67>?3:1=vsa30;;>5<6std8=4750;3xyk561h0;6?6f;295~{i;8k;6=4>{|l05d7=83;pqc=>a383>4}zf:;j?7>51zm74g3290:wp`<1`794?7|ug9:m;4?:0y~j67f?3:1=vsa30c;>5<6std8=l750;3xyk56ih0;6?nf;295~{i;8h;6=4>{|l05g7=83;pqc=>b383>4}zf:;i?7>51z~yxFGKr98h84:ebgf0c0zHIHpDR[VCEJB?4C39@A6=DD[30OBCBIUVF@2=DZLK_II?4D69Geqg;87=0Hlzn<0<1?CB33ONHI<5H3:EM@1=OR@D27ETFN^KAQC7_N@VBd=KMMU9SBLZF`9OAAY4WFH^Jl5CEE]7[JDRNh1GIIQ:_N@VBd=KMMU=SBLZF`9OAAY0WFH^J:5COFK@EIg;N68KGSA;2EY>>5@R218KW2d3Y$9<<=>001\H1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF5>W13[oxyaz9;RMVVFC33]X^I?5[X69W\ZIE]O>0XT^Jc:WPAWYQAZCI@H74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA=1SC_<8;YQWEIOIk2RXXLBFN^KAQC00:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj3=_g5:5=>5Wsu30?djumhnrya}eeamp9699;1j`kndxqwkwcckg~T<P_np34576j2kg~hokyrvlv`bdf}U;SRa}012254e?00335g=fd{ojht}{osgggkrX8VUd~=>?133a?djumhnrya}eeampZ6XWfx;<=?<1c9bhwcflpyckkcov\4ZYhz9:;=9?m;`nqadb~{}eyiimat^2\[jt789;>=o5nlsgb`|usg{oooczP0^]lv5679?;i7lb}e`fzwqiummiexR>P_np345709k1j`kndxqwkwcckg~Tekcje~byo30?f8gimdg|dm1??>e9`hneh}g~j06:f=ddbidyczn<7<`?fjlkfexl28>b9`hneh}g~j050l;bnhgjsi|h622o5lljalqkrfW9;?7nbdcnwmpdY7WVkeh=>?0078gimdg|dmR>P_`lg456798?0oaelotlweZ6XWhdo<=>?2078gimdg|dmR>P_`lg4567;k1h`fm`uovb[4e?1078gimdg|dmRo5lljalqkrfW=;?7nbdcnwmpdY3WVkeh=>?0078gimdg|dmR:P_`lg456798?0oaelotlweZ2XWhdo<=>?2c9`hneh}g~jS8?;;bnhgjsi|hU>SRoad123443?1078gimdg|dmR8P_`lg4567:k1h`fm`uovb[2733jf`ob{at`]4[Zgil9:;<<;4cmi`kphsiV=TSl`k0123543o5lljalqkrfW1;?7nbdcnwmpdY?WVkeh=>?0078gimdg|dmR6P_`lg456798?0oaelotlweZ>XWhdo<=>?2c9`hneh}g~jS4?;;bnhgjsi|hU2SRoad123443PMymq[Wct}e~7==0=7:aoofirf}kTAljk_^cg`5678VGscQ]erwop9766;=0oaelotlweZKflmUTmij?012\I}iuW[oxyaz313<12>ekcje~byoPM`fg[Zgcl9:;;5lljalqkrfWDkohRQnde2345YJpfxT^h}zlu>1:70PMymq[Wct}e~7?3<9;bnhgjsi|hUFmijP_`fg4567WDrd~R\jstnw8185>2iggnaznuc\IdbcWVkoh=>?0^O{kwYUmzgx1;1279`hneh}g~jS@okd^]b`a6789UFtb|PRdqvhq:16;<0oaelotlweZKflmUTmij?012\I}iuW[oxyaz37?05?fjlkfexlQBaef\[dbc89:;S@v`r^Pfwpjs4149:6mckbmvjqgXEhnoSRokd1234ZKg{UYi~{ct=;=65=ddbidyczn_Lcg`ZYflm:;<=QPaof3456592iggnaznuc\IdbcWVkoh=>?0^]bja6789;9<6mckbmvjqgXEhnoSRokd1234ZYffm:;<<<>;bnhgjsi|hUFmijP_`fg4567WVkeh=>?1003?fjlkfexlQBaef\[dbc89:;SRoad123677P_`lg45659;:0oaelotlweZKflmUTmij?012\[dhc89:8><5lljalqkrfWDkohRQnde2345YXign;<==>219`hneh}g~jS@okd^]b`a6789UTmcj?01615>ekcje~byoPM`fg[Zgcl9:;?0^]bja678<8:7nbdcnwmpdYJimnTSljk0123[Zgil9:;9<?6338gimdg|dmRCnde]\eab789:TSl`k0125576P_`lg4560:81h`fm`uovb[HgclVUjhi>?01]\ekb789=:>=5lljalqkrfWDkohRQnde2345YXign;<=6=1:aoofirf}kTAljk_^cg`5678VUjbi>?09314>ekcje~byoPM`fg[Zgcl9:;?_^cm`567188;7nbdcnwmpdYJimnTSljk0123[Zgil9::310<22>ekcje~byoP_`fg45674885=85lljalqkrfWVkoh=>?0=3=50=ddbidyczn_^cg`5678585=85lljalqkrfWVkoh=>?0=1=50=ddbidyczn_^cg`56785>5=85lljalqkrfWVkoh=>?0=7=50=ddbidyczn_^cg`56785<5=85lljalqkrfWVkoh=>?0=5=50=ddbidyczn_^cg`5678525=85lljalqkrfWVkoh=>?0=;=54=ddbidyczn_`lg45679;1h`fm`uovb[dhc89:;=<<4cmi`kphsiVkeh=>?0348gjsi|hh0~h}jtbnh858f3{oxiymck^226>tb{l~h`fQ?_^mq45669:1yi~k{cmi\4ZYhz9:;=tb{l~h`fQ?_`lg45679890~h}jtbnh[5Yffm:;<=1f:qwkwcdg|dm1?>>g9ppjtbkfexl2>2?d8wqiumje~byo312usg{ohcx`{a=36:c=t|fxnob{at`>22;`<{}eyinaznuc?528a3z~d~hm`uovb84>9m2ycklotlwe979m2ycklotlwe949m2ycklotlwe959m2ycklotlwe929m2ycklotlwe939m2ycklotlwe909m2ycklotlwe919m2ycklotlwe9>9m2ycklotlwe9?9l2ycklotlweZ66=2ycklotlweZ6Xe|r;<=>>6:qwkwcdg|dmR>Pmtz345669>1xxb|jcnwmpdY7Wds<=>?1134?vrhzlidyczn_1]nq}6789;:=:5|tnpfgjsi|hU;S`{w012357713z~d~hm`uovb[5Yj}q:;<=<>6:qwkwcdg|dmR>Pmtz345649?1xxb|jcnwmpdY7Wds<=>?4048wqiumje~byoP0^ov|5678<;=7~z`rdalqkrfW9Ufyu>?01422>usg{ohcx`{a^2\ip~789:<=;5|tnpfgjsi|hU;S`{w0123<40<{}eyinaznuc\4Zkrp9:;<4?:;rvlv`eh}g~jS=Qaou2344713z~d~hm`uovb[5Yig}:;<6:qwkwcdg|dmR>Pnnv345759?1xxb|jcnwmpdY7Wge<=>>3048wqiumje~byoP0^llp5679=;=7~z`rdalqkrfW9Uecy>?00722>usg{ohcx`{a^2\jjr789;==;5|tnpfgjsi|hU;Sca{0122340<{}eyinaznuc\4Zhh|9:;=5j4sumqafirf}kT=h5|tnpfgjsi|hU:<<84sumqafirf}kT==Qnne2340703z~d~hm`uovb[46Xign;<=;>169ppjtbkfexlQ>0^cm`567=;;<7~z`rdalqkrfW8:Tmcj?017053=t|fxnob{at`]24Zgil9:;:<94sumqafirf}kT==Qnne23437612ycklotlweZ77Wjg{Sh?0122e>usg{ohcx`{a^33[fkwWl{;<=>>179ppjtbkfexlQ>0^ov|56788=0ya}ebmvjqgX99Ufyu>?0132<>usg{ohcx`{a^33[hs89:;==?7;rvlv`eh}g~jS<>Pmtz345669820ya}ebmvjqgX99Ufyu>?01315==t|fxnob{at`]24Zkrp9:;<<=>8:qwkwcdg|dmR??_lw{45679=;<7~z`rdalqkrfW8:Taxv?012152=t|fxnob{at`]24Zkrp9:;<>?8;rvlv`eh}g~jS<>Pmtz345639>1xxb|jcnwmpdY68Vg~t=>?0434?vrhzlidyczn_02\ip~789:==:5|tnpfgjsi|hU:7169ppjtbkfexlQ>0^ov|56780;=7~z`rdalqkrfW8:Tbbz?01323>usg{ohcx`{a^33[kis89::=<94sumqafirf}kT==Qaou234446?2ycklotlweZ77Wge<=>>3058wqiumje~byoP11]mkq6788>:;6}{osg`kphsiV;;Sca{0122141<{}eyinaznuc\55Yig}:;<<8>7:qwkwcdg|dmR??_omw4566?8=0ya}ebmvjqgX99Uecy>?00:23>usg{ohcx`{a^33[kis89::5h5|tnpfgjsi|hU:=<84sumqafirf}kT=>199ppjtbkfexlQ>1^ov|56788::46}{osg`kphsiV;:S`{w0123547?3z~d~hm`uovb[47Xe|r;<=>>20:8wqiumje~byoP10]nq}6789;8=55|tnpfgjsi|hU:=Rczx1234426?2ycklotlweZ76Wds<=>?2058wqiumje~byoP10]nq}67899:;6}{osg`kphsiV;:S`{w0123041<{}eyinaznuc\54Yj}q:;<=;>7:qwkwcdg|dmR?>_lw{4567>8=0ya}ebmvjqgX98Ufyu>?01523>usg{ohcx`{a^32[hs89:;4<94sumqafirf}kT=2ycklotlweZ75Wds<=>?169ppjtbkfexlQ>2^ov|56788;37~z`rdalqkrfW88Taxv?012244><{}eyinaznuc\57Yj}q:;<=?>199ppjtbkfexlQ>2^ov|567888:46}{osg`kphsiV;9S`{w0123567?3z~d~hm`uovb[44Xe|r;<=>>4058wqiumje~byoP13]nq}67898:;6}{osg`kphsiV;9S`{w0123741<{}eyinaznuc\57Yj}q:;<=:>7:qwkwcdg|dmR?=_lw{4567=8=0ya}ebmvjqgX9;Ufyu>?01423>usg{ohcx`{a^31[hs89:;;<94sumqafirf}kT=?Qbuy2345>6?2ycklotlweZ75Wds<=>?9d9ppjtbkfexlQ>3048wqiumje~byoP12]nq}6789;<7~z`rdalqkrfW89Taxv?01225==t|fxnob{at`]27Zkrp9:;<<>>8:qwkwcdg|dmR?<_lw{456798;37~z`rdalqkrfW89Taxv?012264><{}eyinaznuc\56Yj}q:;<=?<199ppjtbkfexlQ>3^ov|56788>:;6}{osg`kphsiV;8S`{w0123641<{}eyinaznuc\56Yj}q:;<==>7:qwkwcdg|dmR?<_lw{4567<8=0ya}ebmvjqgX9:Ufyu>?01723>usg{ohcx`{a^30[hs89:;:<94sumqafirf}kT=>Qbuy234516?2ycklotlweZ74Wds<=>?8058wqiumje~byoP12]nq}67893n7~z`rdalqkrfW8>::6}{osg`kphsiV;?S`{w012352=t|fxnob{at`]20Zkrp9:;<?01325==t|fxnob{at`]20Zkrp9:;<<<>8:qwkwcdg|dmR?;_lw{45679:;37~z`rdalqkrfW8>Taxv?0122041<{}eyinaznuc\51Yj}q:;<=<>7:qwkwcdg|dmR?;_lw{4567;8=0ya}ebmvjqgX9=Ufyu>?01623>usg{ohcx`{a^37[hs89:;9<94sumqafirf}kT=9Qbuy234506?2ycklotlweZ73Wds<=>?7058wqiumje~byoP15]nq}67892:;6}{osg`kphsiV;?S`{w0123=`=t|fxnob{at`]2140<{}eyinaznuc\50Yj}q:;<=?8;rvlv`eh}g~jS<;Pmtz34566911xxb|jcnwmpdY6=Vg~t=>?0022<>usg{ohcx`{a^36[hs89:;=?01305==t|fxnob{at`]21Zkrp9:;<<:>7:qwkwcdg|dmR?:_lw{4567:8=0ya}ebmvjqgX9?01123>usg{ohcx`{a^36[hs89:;8<94sumqafirf}kT=8Qbuy234536?2ycklotlweZ72Wds<=>?6058wqiumje~byoP14]nq}6789=:;6}{osg`kphsiV;>S`{w0123<41<{}eyinaznuc\50Yj}q:;<=7j;rvlv`eh}g~jS<8>6:qwkwcdg|dmR?9_lw{45679>1xxb|jcnwmpdY6>Vg~t=>?003;?vrhzlidyczn_04\ip~789::<<64sumqafirf}kT=;Qbuy234576911xxb|jcnwmpdY6>Vg~t=>?00023>usg{ohcx`{a^35[hs89:;><94sumqafirf}kT=;Qbuy234556?2ycklotlweZ71Wds<=>?4058wqiumje~byoP17]nq}6789?:;6}{osg`kphsiV;=S`{w0123241<{}eyinaznuc\53Yj}q:;<=9>7:qwkwcdg|dmR?9_lw{456708=0ya}ebmvjqgX9?Ufyu>?01;f?vrhzlidyczn_0522>usg{ohcx`{a^34[hs89:;=:5|tnpfgjsi|hU:;Rczx123447?3z~d~hm`uovb[41Xe|r;<=>>00:8wqiumje~byoP16]nq}6789;:=55|tnpfgjsi|hU:;Rczx1234446?2ycklotlweZ70Wds<=>?2058wqiumje~byoP16]nq}67899:;6}{osg`kphsiV;7:qwkwcdg|dmR?8_lw{4567>8=0ya}ebmvjqgX9>Ufyu>?01523>usg{ohcx`{a^34[hs89:;4<94sumqafirf}kT=:Qbuy2345?b3z~d~hm`uovb[4>6>2ycklotlweZ7?Wds<=>?169ppjtbkfexlQ>8^ov|56788;<7~z`rdalqkrfW82Taxv?012150=t|fxnob{at`]2[hs89:;=;5|tnpfgjsi|hU:S`{w0123541<{}eyinaznuc\5Zkrp9:;<<>>7:qwkwcdg|dmR?Pmtz3456698=0ya}ebmvjqgX9Vg~t=>?00022>usg{ohcx`{a^3\ip~789:9=;5|tnpfgjsi|hU:S`{w0123740<{}eyinaznuc\5Zkrp9:;<9?9;rvlv`eh}g~jS2ycklotlweZ7Xe|r;<=>9179ppjtbkfexlQ>_lw{4567?8<0ya}ebmvjqgX9Vg~t=>?0935?vrhzlidyczn_0]nq}67893o7~z`rdalqkrfW;;>7~z`rdalqkrfW;Ufyu>?0135?vrhzlidyczn_3]nq}6789;:;6}{osg`kphsiV8Taxv?0122441<{}eyinaznuc\6Zkrp9:;<7:qwkwcdg|dmR?0335?vrhzlidyczn_3]nq}67899::6}{osg`kphsiV8Taxv?012753=t|fxnob{at`]1[hs89:;9<84sumqafirf}kT>Rczx12343713z~d~hm`uovb[7Yj}q:;<=9>6:qwkwcdg|dmR?9e9ppjtbkfexlQ<149ppjtbkfexlQ<_lw{45679?1xxb|jcnwmpdY4Wds<=>?1058wqiumje~byoP3^ov|56788::;6}{osg`kphsiV9Taxv?0122541<{}eyinaznuc\7Zkrp9:;<<<>7:qwkwcdg|dmR=Pmtz34566;8=0ya}ebmvjqgX;Vg~t=>?00622>usg{ohcx`{a^1\ip~789:9=;5|tnpfgjsi|hU8S`{w0123740<{}eyinaznuc\7Zkrp9:;<9?9;rvlv`eh}g~jS>Qbuy234536>2ycklotlweZ5Xe|r;<=>9179ppjtbkfexlQ<_lw{4567?8<0ya}ebmvjqgX;Vg~t=>?0935?vrhzlidyczn_2]nq}67893o7~z`rdalqkrfW=;>7~z`rdalqkrfW=Ufyu>?0135?vrhzlidyczn_5]nq}6789;:;6}{osg`kphsiV>Taxv?0122441<{}eyinaznuc\0Zkrp9:;<7:qwkwcdg|dmR:Pmtz34566:8=0ya}ebmvjqgX?00123>usg{ohcx`{a^6\ip~789::8<84sumqafirf}kT8Rczx12347713z~d~hm`uovb[1Yj}q:;<==>6:qwkwcdg|dmR:Pmtz345639?1xxb|jcnwmpdY3Wds<=>?5048wqiumje~byoP4^ov|5678?;=7~z`rdalqkrfW=Ufyu>?01522>usg{ohcx`{a^6\ip~789:3=;5|tnpfgjsi|hU?S`{w0123=a=t|fxnob{at`]650=t|fxnob{at`]6[hs89:;=;5|tnpfgjsi|hU>S`{w0123541<{}eyinaznuc\1Zkrp9:;<<>>7:qwkwcdg|dmR;Pmtz3456698=0ya}ebmvjqgX=Vg~t=>?00023>usg{ohcx`{a^7\ip~789::?<94sumqafirf}kT9Rczx1234426>2ycklotlweZ3Xe|r;<=>=179ppjtbkfexlQ:_lw{4567;8<0ya}ebmvjqgX=Vg~t=>?0535?vrhzlidyczn_4]nq}6789?::6}{osg`kphsiV?Taxv?012553=t|fxnob{at`]6[hs89:;;<84sumqafirf}kT9Rczx1234=713z~d~hm`uovb[0Yj}q:;<=7k;rvlv`eh}g~jS;?:;rvlv`eh}g~jS;Qbuy2345713z~d~hm`uovb[3Yj}q:;<=?>7:qwkwcdg|dmR8Pmtz3456688=0ya}ebmvjqgX>Vg~t=>?00323>usg{ohcx`{a^4\ip~789::><94sumqafirf}kT:Rczx1234456?2ycklotlweZ0Xe|r;<=>>4048wqiumje~byoP6^ov|5678;;=7~z`rdalqkrfW?Ufyu>?01122>usg{ohcx`{a^4\ip~789:?=;5|tnpfgjsi|hU=S`{w0123140<{}eyinaznuc\2Zkrp9:;<;?9;rvlv`eh}g~jS;Qbuy234516>2ycklotlweZ0Xe|r;<=>7179ppjtbkfexlQ9_lw{45671m1xxb|jcnwmpdY09<1xxb|jcnwmpdY0Wds<=>?179ppjtbkfexlQ8_lw{456798=0ya}ebmvjqgX?Vg~t=>?00223>usg{ohcx`{a^5\ip~789::=<94sumqafirf}kT;Rczx1234446?2ycklotlweZ1Xe|r;<=>>3058wqiumje~byoP7^ov|56788>::6}{osg`kphsiV=Taxv?012153=t|fxnob{at`]4[hs89:;?<84sumqafirf}kT;Rczx12341713z~d~hm`uovb[2Yj}q:;<=;>6:qwkwcdg|dmR9Pmtz345619?1xxb|jcnwmpdY0Wds<=>?7048wqiumje~byoP7^ov|56781;=7~z`rdalqkrfW>Ufyu>?01;g?vrhzlidyczn_936?vrhzlidyczn_9]nq}6789;=7~z`rdalqkrfW1Ufyu>?01323>usg{ohcx`{a^:\ip~789::<<94sumqafirf}kT4Rczx1234476?2ycklotlweZ>Xe|r;<=>>2058wqiumje~byoP8^ov|567889:;6}{osg`kphsiV2Taxv?0122040<{}eyinaznuc\2ycklotlweZ>Xe|r;<=>;179ppjtbkfexlQ7_lw{4567=8<0ya}ebmvjqgX0Vg~t=>?0735?vrhzlidyczn_9]nq}6789=::6}{osg`kphsiV2Taxv?012;53=t|fxnob{at`];[hs89:;5i5|tnpfgjsi|hU2=85|tnpfgjsi|hU2S`{w012353=t|fxnob{at`]:[hs89:;=<94sumqafirf}kT5Rczx1234466?2ycklotlweZ?Xe|r;<=>>1058wqiumje~byoP9^ov|567888:;6}{osg`kphsiV3Taxv?0122741<{}eyinaznuc\=Zkrp9:;<<:>6:qwkwcdg|dmR7Pmtz345659?1xxb|jcnwmpdY>Wds<=>?3048wqiumje~byoP9^ov|5678=;=7~z`rdalqkrfW0Ufyu>?01722>usg{ohcx`{a^;\ip~789:==;5|tnpfgjsi|hU2S`{w0123340<{}eyinaznuc\=Zkrp9:;<5?9;rvlv`eh}g~jS4Qbuy2345?f3|kyxnz}<1sfz}i~R>P_`lg45679890yl|{cup\4ZYffm:;<=<>3:wbvqeszV:TSl`k0123747<}hxoy|P0^]lv5678880yl|{cup\4ZYhz9:;<?01026>sfz}i~R>P_np345649;1~mzlts]3[Ziu89:;8<<4u`pwgqtX8VUd~=>?0431?pgu|j~yS=QPos234506:2j~ym{r^2\[jt789:<=?5zasv`pwY7WVey<=>?8008qdtsk}xT5zasv`pwY6WVkeh=>?03;8qdtsk}xT><<4u`pwgqtX:VUjbi>?0130?pgu|j~yS?QPaof345669:1~mzlts]1[Zgil9:;>129vewrd|{U?SRoad12347433|kyxnz}_Lcg`ZYflm:;<=QBxnp\V`urd}6:2?:4u`pwgqtXEhnoSRokd1234ZKg{UYi~{ct=0=61=ri{~hxQBaef\[dbc89:;S@v`r^Pfwpjs4:4986{nruawvZKflmUTmij?012\I}iuW[oxyaz34?3f?pgu|j~yS@okd^]b`a6789UTmcj?0122b>sfz}i~RCnde]\eab789:TSl`k012354c<}hxoy|PM`fg[Zgcl9:;?_^cm`567:8l0yl|{cup\IdbcWVkoh=>?0^]bja678;;:?6{nruawvZYflm:;<=2>>018qdtsk}xTSljk01238786;2j~ym{r^]b`a6789682<=4u`pwgqtXWhno<=>?<54u`pwgqtXign;<=>;119vewrd|{Ujbi>?01724>sfz}i~Road12343g<}hxbby30?;8qdtsff}T<?1008qdtsff}T6{nrullsZ6Xff~;<=?<139vewrig~U;Sca{01220g=ri{~xgd~30?c8qdts{bc{S=?=;tcqpvmnxV:Tbbz?01327>sfz}y`e}Q?_omw456698>0yl|{sjks[5Yig}:;<<=4u`pwwnowW9Uecy>?00127>sfz}y`e}Q?_omw4566<890yl|{sjks[5Yig}:;<<;>3:wbvqulayU;Sca{0122245<}hxfg_1]mkq6788=:?6{nruqhmuY7Wge<=>>8018qdts{bc{S=Qaou2344?f3~kbxcax<1<:?rgn|ge|S=?>;vcjpkipW9Uecy>?0031?rgn|ge|S=Qaou23447682}jey``w^2\kw6789;:7zoftomt[5Yhz9:;<;vcjpkipW9Ud~=>?0332?rgn|ge|S=Q`r12346763~kbxcax_1]lv5678=;:7zoftomt[5Yhz9:;<8??;vcjpkipW9Ud~=>?2038sdosff}T=4038sdosff}T8;0{lg{nnu\4Ziu89:9;=8038sdosff}T:o6<5a4d85?!2d2=<0q^o55180=?74<:n=87omf79g`7<7280:w^l55180=?74<:n=87omeg9uP6`=83;1=7?l2zQa>06=;00:?9=k658bf``<,=?1?=5+3`81<1=el;0;6o4>c182g7}O<=1/8>4k2:X5>4}62t.:i7:?;h6;>5<>i5?3:1(>m5279m7g<732e997>5$2a963=i;k0:76a=4;29 6e=:?1e?o4=;:m17?6=,:i1>;5a3c80?>i5:3:1(>m5279m7g<332e9=7>5$2a963=i;k0>76a=0;29 6e=:?1e?o49;:m2b?6=,:i1>;5a3c84?>d3j3:1=7>50z&77?753A>j7E:;;n32>5<55;294~"3;3;;7E:n;I67?!7d291b47>5;h;94?=n?3:17dm50;9l7a<722wiii4?:483>5}#<:0:<6F;a:J70>"6k3:0e54?::k:>5<0;66gl:188k6b=831vn?9>:186>5<7s->86<>4H5c8L12<,8i1<6g7:188m<<722c<6=44ib83>>i4l3:17pl>2683>0<729q/8>4>0:J7e>N3<2.:o7>4i983>>o>2900e:4?::k`>5<5<2290;w):<:028L1g<@=>0(5<5;|`272<72<0;6=u+42824>N3i2B?86*>c;28m=<722c26=44i683>>od2900c>j50;9~f4g3290>6=4?{%60>46<@=k0D9:4$0a94>o?2900e44?::k4>5<0;684?:1y'06<682B?m6F;4:&2g?6>o02900en4?::m0`?6=3th:9:4?:483>5}#<:0:<6F;a:J70>"6k3:0e54?::k:>5<0;66gl:188k6b=831vn5<7s->86<>4H5c8L12<,8i1<6g7:188m<<722c<6=44ib83>>i4l3:17pl>6683>0<729q/8>4>0:J7e>N3<2.:o7>4i983>>o>2900e:4?::k`>5<5<2290;w):<:028L1g<@=>0(5<5;|`232<72<0;6=u+42824>N3i2B?86*>c;28m=<722c26=44i683>>od2900c>j50;9~f4c5290>6=4?{%60>46<@=k0D9:4$0a94>o?2900e44?::k4>5<>o02900en4?::m0`?6=3th:5>4?:483>5}#<:0:<6F;a:J70>"6k3:0e54?::k:>5<0;66gl:188k6b=831vn?>=:186>5<7s->86<>4H5c8L12<,8i1<6g7:188m<<722c<6=44ib83>>i4l3:17pl=1383>0<729q/8>4>0:J7e>N3<2.:o7>4i983>>o>2900e:4?::k`>5<5<2290;w):<:028L1g<@=>0(5<5;|`126<72<0;6=u+42824>N3i2B?86*>c;28m=<722c26=44i683>>od2900c>j50;9~f04=83?1<7>t$51955=O=831b57>5;h594?=nk3:17b=k:188ygb3290>6=4?{%60>46<@=k0D9:4$0a94>o?2900e44?::k4>5<50z&77?`<@=k0D9:4$0a94>o02900en4?::m0`?6=3th<:7>53;294~"3;3l0D9o4H568 4e=82c<6=44ib83>>i4l3:17pl82;297?6=8r.??7h4H5c8L12<,8i1<6g8:188mf<722e8h7>5;|`:`?6=;3:10(5<13:1?7>50z&77?`<@=k0D9:4$0a94>o02900en4?::m0`?6=3th297>53;294~"3;3l0D9o4H568 4e=82c<6=44ib83>>i4l3:17pl61;297?6=8r.??7h4H5c8L12<,8i1<6g8:188mf<722e8h7>5;|`;`?6=;3:10(5<50z&77?`<@=k0D9:4$0a94>o02900en4?::m0`?6=3th397>53;294~"3;3l0D9o4H568 4e=82c<6=44ib83>>i4l3:17pl71;297?6=8r.??7h4H5c8L12<,8i1<6g8:188mf<722e8h7>5;|`45?6=;3:10(5<50z&77?`<@=k0D9:4$0a94>o02900en4?::m0`?6=3th98?4?:483>5}#<:0:<6F;a:J70>"6k3:0e54?::k:>5<0;66gl:188k6b=831vn?=j:186>5<7s->86<>4H5c8L12<,8i1<6g7:188m<<722c<6=44ib83>>i4l3:17pl=3`83>0<729q/8>4>0:J7e>N3<2.:o7>4i983>>o>2900e:4?::k`>5<5<2290;w):<:028L1g<@=>0(5<5;|`162<72<0;6=u+42824>N3i2B?86*>c;28m=<722c26=44i683>>od2900c>j50;9~f72>29086<4<{I67?!242:;0ei4?::kf>5<6<729q/8>4;7:J7e>N3<2c::7>5;h34>5<1<75rse83>7}Yl27?j7?8;|qf>5<5sWo019h5179~w6c=838pR>k4=5d971=zuk8?47>53;397~N3<2.??7=>;hf94?=nm3:17b=j:188f1`=8391<7>t$51902=O>o6?3:17b=;:188yvb=838pRi524g823>{tm3:1>vPj;<6e>400qpl=4683>6<62:qC895+42805>oc2900eh4?::m0a?6=3k>m6=4<:183!242==0D9o4H568m40=831b=:4?::m00?6=3tyo6=4={_f891`=9>1vh4?:3y]a>;3n3;=7p}5;hg94?=h;l0;66l;f;297?6=8r.??7:8;I6b?M233`;=6=44i0594?=h;=0;66s|d;296~Xc34>m6<94}rg94?4|Vl168k4>6:p7`<72;qU?h524g800>{zjh91<7=51;1xL12<,=91?<5fd;29?lc=831d?h4?::`7b?6=;3:1?7d?9:188m41=831d?94?::p`?6=:rTo70:i:058yvc=838pRh524g822>{t;l0;6?uQ3d9>0c<4<2wvnl<50;195?5|@=>0(9=5309j`?6=3`o1<75`3d83>>d3n3:1?7>50z&77?203A>j7E:;;h35>5<>{tl3:1>vPk;<6e>410c<6>2wx?h4?:3y]7`=:53;294~"3;3><7E:n;I67?l712900e<950;9l71<722wxh7>52z\g?82a28=0q~k50;0xZ`=:7}Y;l168k4<4:~fde=8391=7=tH568 15=;81bh7>5;hg94?=h;l0;66l;f;297?6=8r.??7:8;I6b?M233`;=6=44i0594?=h;=0;66s|d;296~Xc34>m6<94}rg94?4|Vl168k4>6:p7`<72;qU?h524g800>{zjhh1<7=51;1xL12<,=91?<5fd;29?lc=831d?h4?::`7b?6=;3:1?7d?9:188m41=831d?94?::p`?6=:rTo70:i:058yvc=838pRh524g822>{t;l0;6?uQ3d9>0c<4<2wvnlo50;195?5|@=>0(9=5309j`?6=3`o1<75`3d83>>d3n3:1?7>50z&77?203A>j7E:;;h35>5<>{tl3:1>vPk;<6e>410c<6>2wx?h4?:3y]7`=:53;294~"3;3><7E:n;I67?l712900e<950;9l71<722wxh7>52z\g?82a28=0q~k50;0xZ`=:7}Y;l168k4<4:~fd>=8391=7=tH568 15=;81bh7>5;hg94?=h;l0;66l;f;297?6=8r.??7:8;I6b?M233`;=6=44i0594?=h;=0;66s|d;296~Xc34>m6<94}rg94?4|Vl168k4>6:p7`<72;qU?h524g800>{zjh=1<7=51;1xL12<,=91?<5fd;29?lc=831d?h4?::`7b?6=;3:1?7d?9:188m41=831d?94?::p`?6=:rTo70:i:058yvc=838pRh524g822>{t;l0;6?uQ3d9>0c<4<2wvnl850;195?5|@=>0(9=5309j`?6=3`o1<75`3d83>>d3n3:1?7>50z&77?203A>j7E:;;h35>5<>{tl3:1>vPk;<6e>410c<6>2wx?h4?:3y]7`=:53;294~"3;3><7E:n;I67?l712900e<950;9l71<722wxh7>52z\g?82a28=0q~k50;0xZ`=:7}Y;l168k4<4:~fd2=8391=7=tH568 15=;81bh7>5;hg94?=h;l0;66l;f;297?6=8r.??7:8;I6b?M233`;=6=44i0594?=h;=0;66s|d;296~Xc34>m6<94}rg94?4|Vl168k4>6:p7`<72;qU?h524g800>{zj83>6=4=:183!2428n0D9o4H568m43=831d?94?::a5<0=8391<7>t$51973=O>o6?3:17b=;:188ygb729096=4?{%60>4b<@=k0D9:4i0794?=h;=0;66smd083>6<729q/8>4=;I6b?M233`;=6=44i0594?=h;=0;66smd983>7<729q/8>4>d:J7e>N3<2c:97>5;n17>5<5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th9;>4?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188ygb129086=4?{%60>60<@=k0D9:4i0494?=n9>0;66a<4;29?xd0k3:1>7>50z&77?7c3A>j7E:;;h36>5<1<75rb6f94?5=83:p(9=52:J7e>N3<2c::7>5;h34>5<1<75rb6:94?4=83:p(9=51e9K0d=O<=1b=84?::m00?6=3th<57>53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th<87>52;294~"3;3;o7E:n;I67?l722900c>:50;9~f23=8391<7>t$5196>N3i2B?86g>6;29?l702900c>:50;9~f<`=8381<7>t$5195a=O>i4<3:17pln0;297?6=8r.??7<4H5c8L12>i4<3:17pl6b;296?6=8r.??7?k;I6b?M233`;>6=44o2694?=zj0i1<7=50;2x 15=:2B?m6F;4:k22?6=3`;<6=44o2694?=zj0=1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`:0e<850;9j52<722e887>5;|`:7?6=:3:1?7d?::188k62=831vn4:50;194?6|,=91>6F;a:J70>o6>3:17d?8:188k62=831vn5h50;094?6|,=91=i5G4`9K01=n9<0;66a<4;29?xd>83:1?7>50z&77?4<@=k0D9:4i0494?=n9>0;66a<4;29?xd?j3:1>7>50z&77?7c3A>j7E:;;h36>5<1<75rb9a94?5=83:p(9=52:J7e>N3<2c::7>5;h34>5<1<75rb9594?4=83:p(9=51e9K0d=O<=1b=84?::m00?6=3th347>53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th3?7>52;294~"3;3;o7E:n;I67?l722900c>:50;9~f=2=8391<7>t$5196>N3i2B?86g>6;29?l702900c>:50;9~f2`=8381<7>t$5195a=O>i4<3:17pl70;297?6=8r.??7<4H5c8L12>i4<3:17pl9e;296?6=8r.??7?k;I6b?M233`;>6=44o2694?=zj?l1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`44?6=;3:10e<850;9j52<722e887>5;|`ba?6=;3:10e<850;9j52<722e887>5;|`a4?6=;3:10e<850;9j52<722e887>5;|`a6?6=;3:10e<850;9j52<722e887>5;|`a0?6=;3:10e<850;9j52<722e887>5;|`a2?6=;3:10e<850;9j52<722e887>5;|`a0e<850;9j52<722e887>5;|`ae?6=;3:10e<850;9j52<722e887>5;|`ag?6=;3:10e<850;9j52<722e887>5;|`aa?6=;3:10e<850;9j52<722e887>5;|``4?6=;3:10e<850;9j52<722e887>5;|``6?6=;3:10e<850;9j52<722e887>5;|`101<72;0;6=u+4282`>N3i2B?86g>5;29?j532900qo<;5;297?6=8r.??7<4H5c8L12>i4<3:17pl=4183>7<729q/8>4>d:J7e>N3<2c:97>5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th9?n4?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg44l3:1?7>50z&77?4<@=k0D9:4i0494?=n9>0;66a<4;29?xd5;10;6?4?:1y'06<6l2B?m6F;4:k21?6=3f9?6=44}c00=?6=;3:10e<850;9j52<722e887>5;|`16<<72;0;6=u+4282`>N3i2B?86g>5;29?j532900qo<=a;296?6=8r.??7?k;I6b?M233`;>6=44o2694?=zj;8i6=4<:183!242;1C8l5G459j53<722c:;7>5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th98i4?:283>5}#<:097E:n;I67?l712900e<950;9l71<722wi>9h50;194?6|,=91>6F;a:J70>o6>3:17d?8:188k62=831vn?;::180>5<7s->86?5G4`9K01=n9?0;66g>7;29?j532900qo<:6;296?6=8r.??7?k;I6b?M233`;>6=44o2694?=zj;?<6=4=:183!2428n0D9o4H568m43=831d?94?::a60>=8381<7>t$5195a=O>i4<3:17pl=5883>6<729q/8>4=;I6b?M233`;=6=44i0594?=h;=0;66sm24c94?2=83:p(9=53:J7e>N3<2c::7>5;h34>5<>{e99n1<7850;2x 15==2B?m6F;4:k22?6=3`;<6=44i0:94?=n900;66g>a;29?j532900qo??7;296?6=8r.??7?k;I6b?M233`;>6=44o2694?=zj8:36=4=:183!2428n0D9o4H568m43=831d?94?::a55?=83>1<7>t$5197>N3i2B?86g>6;29?l702900e<650;9l71<722wi>:;50;194?6|,=91>6F;a:J70>o6>3:17d?8:188k62=831vn?99:181>5<7s->86>{e:>=1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`13=<72:0;6=u+4281?M2f3A>?7d?9:188m41=831d?94?::a62?=83>1<7>t$5197>N3i2B?86g>6;29?l702900e<650;9l71<722wi984?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg3029086=4?{%60>7=O>o6?3:17b=;:188yg3?29096=4?{%60>4b<@=k0D9:4i0794?=h;=0;66sm5883>7<729q/8>4>d:J7e>N3<2c:97>5;n17>5<?7d?9:188m41=831d?94?::a1f<72:0;6=u+4281?M2f3A>?7d?9:188m41=831d?94?::a1a<72:0;6=u+4281?M2f3A>?7d?9:188m41=831d?94?::a1`<72=0;6=u+4280?M2f3A>?7d?9:188m41=831b=54?::m00?6=3th>:7>53;294~"3;39=7E:n;I67?l712900e<950;9l71<722wi>?j50;194?6|,=91>6F;a:J70>o6>3:17d?8:188k62=831vn?5<7s->86>{e:;l1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`175<72;0;6=u+4282`>N3i2B?86g>5;29?j532900qo<<1;296?6=8r.??7?k;I6b?M233`;>6=44o2694?=zj;996=4<:183!242;1C8l5G459j53<722c:;7>5;n17>5<54;294~"3;390D9o4H568m40=831b=:4?::k2?7d?9:188m41=831d?94?::a663=83>1<7>t$5197>N3i2B?86g>6;29?l702900e<650;9l71<722wiik4?:283>5}#<:097E:n;I67?l712900e<950;9l71<722wij>4?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg`329096=4?{%60>4b<@=k0D9:4i0794?=h;=0;66smf483>7<729q/8>4>d:J7e>N3<2c:97>5;n17>5<5;n17>5<?7d?9:188m41=831d?94?::ab<<72:0;6=u+4281?M2f3A>?7d?9:188m41=831d?94?::abd<72=0;6=u+4280?M2f3A>?7d?9:188m41=831b=54?::m00?6=3thm<7>53;294~"3;39=7E:n;I67?l712900e<950;9l71<722wij<4?:283>5}#<:097E:n;I67?l712900e<950;9l71<722wij?4?:283>5}#<:08:6F;a:J70>o6>3:17d?8:188k62=831vn<77:180>5<7s->86?5G4`9K01=n9?0;66g>7;29?j532900qo?6c;296?6=8r.??7?k;I6b?M233`;>6=44o2694?=zj83o6=4=:183!2428n0D9o4H568m43=831d?94?::a5t$5195a=O>i4<3:17pl>9g83>6<729q/8>4=;I6b?M233`;=6=44i0594?=h;=0;66sm1`294?4=83:p(9=51e9K0d=O<=1b=84?::m00?6=3th:m<4?:283>5}#<:097E:n;I67?l712900e<950;9l71<722wi=l<50;194?6|,=91>6F;a:J70>o6>3:17d?8:188k62=831vn5<7s->86>5G4`9K01=n9?0;66g>7;29?l7?2900c>:50;9~f4?>29086=4?{%60>60<@=k0D9:4i0494?=n9>0;66a<4;29?xd61h0;6>4?:1y'06<53A>j7E:;;h35>5<>{e90h1<7=50;2x 15=;?1C8l5G459j53<722c:;7>5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th:4o4?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg7?k3:1>7>50z&77?7c3A>j7E:;;h36>5<1<75rb0:g>5<5290;w):<:0f8L1g<@=>0e<;50;9l71<722wi=5k50;194?6|,=91>6F;a:J70>o6>3:17d?8:188k62=831vn<6i:181>5<7s->86>{e90:1<7=50;2x 15=:2B?m6F;4:k22?6=3`;<6=44o2694?=zj83:6=4<:183!242;1C8l5G459j53<722c:;7>5;n17>5<7>54;294~"3;390D9o4H568m40=831b=:4?::k2?7d?9:188m41=831d?94?::a5=?=8391<7>t$5196>N3i2B?86g>6;29?l702900c>:50;9~f4>f29086=4?{%60>60<@=k0D9:4i0494?=n9>0;66a<4;29?xd5?k0;6?4?:1y'06<6l2B?m6F;4:k21?6=3f9?6=44}c04g?6=:3:1?7d?::188k62=831vn?9k:181>5<7s->86>{e:>o1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`13c<72;0;6=u+4282`>N3i2B?86g>5;29?j532900qo<70;297?6=8r.??7<4H5c8L12>i4<3:17pl=8083>1<729q/8>4<;I6b?M233`;=6=44i0594?=n910;66a<4;29?xd50;0;6>4?:1y'06<53A>j7E:;;h35>5<>{e:191<7;50;2x 15=;11C8l5G459j53<722c:;7>5;h3;>5<>{e:5;n17>5<j7>52;294~"3;3;o7E:n;I67?l722900c>:50;9~f70729096=4?{%60>4b<@=k0D9:4i0794?=h;=0;66sm27394?2=83:p(9=53:J7e>N3<2c::7>5;h34>5<>{e99l1<7=50;2x 15=:2B?m6F;4:k22?6=3`;<6=44o2694?=zj8;>6=4<:183!242;1C8l5G459j53<722c:;7>5;n17>5<52;294~"3;3;o7E:n;I67?l722900c>:50;9~f47029096=4?{%60>4b<@=k0D9:4i0794?=h;=0;66sm10:94?4=83:p(9=51e9K0d=O<=1b=84?::m00?6=3th:=44?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg76i3:1>7>50z&77?7c3A>j7E:;;h36>5<1<75rb03a>5<5290;w):<:0f8L1g<@=>0e<;50;9l71<722wi=6F;a:J70>o6>3:17d?8:188k62=831vn5<7s->8695G4`9K01=n9?0;66g>7;29?l7?2900e<750;9l71<722wi=o6>3:17d?8:188m4>=831d?94?::a544=8391<7>t$51973=O>o6?3:17b=;:188yg76;3:1?7>50z&77?4<@=k0D9:4i0494?=n9>0;66a<4;29?xd69=0;6>4?:1y'06<4>2B?m6F;4:k22?6=3`;<6=44o2694?=zj8826=4<:183!242;1C8l5G459j53<722c:;7>5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th:?=4?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg7493:1>7>50z&77?7c3A>j7E:;;h36>5<1<75rb011>5<5290;w):<:0f8L1g<@=>0e<;50;9l71<722wi=>=50;094?6|,=91=i5G4`9K01=n9<0;66a<4;29?xd6;=0;6?4?:1y'06<6l2B?m6F;4:k21?6=3f9?6=44}c301?6=:3:1?7d?::188k62=831vn<=9:180>5<7s->86?5G4`9K01=n9?0;66g>7;29?j532900qo?=a;291?6=8r.??7:4H5c8L12>o603:17d?6:188k62=831vn<5<7s->86>5G4`9K01=n9?0;66g>7;29?l7?2900c>:50;9~f44d29086=4?{%60>60<@=k0D9:4i0494?=n9>0;66a<4;29?xd6:m0;6>4?:1y'06<53A>j7E:;;h35>5<>{e9;o1<7=50;2x 15=;?1C8l5G459j53<722c:;7>5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th:?k4?:283>5}#<:097E:n;I67?l712900e<950;9l71<722wi=9>50;094?6|,=91=i5G4`9K01=n9<0;66a<4;29?xd6<80;6?4?:1y'06<6l2B?m6F;4:k21?6=3f9?6=44}c376?6=:3:1?7d?::188k62=831vn<:<:181>5<7s->86>{e9=>1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`200<72;0;6=u+4282`>N3i2B?86g>5;29?j532900qo?;6;297?6=8r.??7<4H5c8L12>i4<3:17pl>3`83>0<729q/8>4;;I6b?M233`;=6=44i0594?=n910;66g>9;29?j532900qo?>o603:17b=;:188yg74k3:1?7>50z&77?513A>j7E:;;h35>5<>{e9:n1<7=50;2x 15=:2B?m6F;4:k22?6=3`;<6=44o2694?=zj89n6=4<:183!242:<0D9o4H568m40=831b=:4?::m00?6=3th:m;4?:283>5}#<:097E:n;I67?l712900e<950;9l71<722wi=lo50;094?6|,=91=i5G4`9K01=n9<0;66a<4;29?xd6ik0;6?4?:1y'06<6l2B?m6F;4:k21?6=3f9?6=44}c3bg?6=:3:1?7d?::188k62=831vn5<7s->86>{e9ho1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`2ec<72:0;6=u+4281?M2f3A>?7d?9:188m41=831d?94?::a5g6=83>1<7>t$5197>N3i2B?86g>6;29?l702900e<650;9l71<722wi=o?50;694?6|,=91?6F;a:J70>o6>3:17d?8:188m4>=831d?94?::a5d1=8391<7>t$51973=O>o6?3:17b=;:188yg7f03:1?7>50z&77?4<@=k0D9:4i0494?=n9>0;66a<4;29?xd6i00;6>4?:1y'06<4>2B?m6F;4:k22?6=3`;<6=44o2694?=zj8>26=4<:183!242;1C8l5G459j53<722c:;7>5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th:9=4?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg7293:1>7>50z&77?7c3A>j7E:;;h36>5<1<75rb071>5<5290;w):<:0f8L1g<@=>0e<;50;9l71<722wi=8=50;094?6|,=91=i5G4`9K01=n9<0;66a<4;29?xd6==0;6?4?:1y'06<6l2B?m6F;4:k21?6=3f9?6=44}c361?6=:3:1?7d?::188k62=831vn<;9:180>5<7s->86?5G4`9K01=n9?0;66g>7;29?j532900qo?;a;291?6=8r.??7:4H5c8L12>o603:17d?6:188k62=831vn<:m:187>5<7s->86>5G4`9K01=n9?0;66g>7;29?l7?2900c>:50;9~f42d29086=4?{%60>60<@=k0D9:4i0494?=n9>0;66a<4;29?xd64?:1y'06<53A>j7E:;;h35>5<>{e9=o1<7=50;2x 15=;?1C8l5G459j53<722c:;7>5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th:nl4?:283>5}#<:097E:n;I67?l712900e<950;9l71<722wi=ol50;094?6|,=91=i5G4`9K01=n9<0;66a<4;29?xd6jj0;6?4?:1y'06<6l2B?m6F;4:k21?6=3f9?6=44}c3a`?6=:3:1?7d?::188k62=831vn5<7s->86>{e9kl1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`2g5<72;0;6=u+4282`>N3i2B?86g>5;29?j532900qo?l1;297?6=8r.??7<4H5c8L12>i4<3:17pl>b483>0<729q/8>4;;I6b?M233`;=6=44i0594?=n910;66g>9;29?j532900qo?m6;290?6=8r.??7=4H5c8L12>o603:17b=;:188yg7e?3:1?7>50z&77?513A>j7E:;;h35>5<>{e9k21<7=50;2x 15=:2B?m6F;4:k22?6=3`;<6=44o2694?=zj8h26=4<:183!242:<0D9o4H568m40=831b=:4?::m00?6=3th:944?:283>5}#<:097E:n;I67?l712900e<950;9l71<722wi=8h50;194?6|,=91>6F;a:J70>o6>3:17d?8:188k62=831vn<8?:181>5<7s->86>{e9?;1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`227<72;0;6=u+4282`>N3i2B?86g>5;29?j532900qo?93;296?6=8r.??7?k;I6b?M233`;>6=44o2694?=zj8t$5195a=O>i4<3:17pl>6783>6<729q/8>4=;I6b?M233`;=6=44i0594?=h;=0;66sm14c94?3=83:p(9=54:J7e>N3<2c::7>5;h34>5<>i4<3:17pl>5c83>1<729q/8>4<;I6b?M233`;=6=44i0594?=n910;66a<4;29?xd6=j0;6>4?:1y'06<4>2B?m6F;4:k22?6=3`;<6=44o2694?=zj8?o6=4<:183!242;1C8l5G459j53<722c:;7>5;n17>5<i7>53;294~"3;39=7E:n;I67?l712900e<950;9l71<722wi=n:50;194?6|,=91>6F;a:J70>o6>3:17d?8:188k62=831vn5<7s->86?5G4`9K01=n9?0;66g>7;29?j532900qo?lb;296?6=8r.??7?k;I6b?M233`;>6=44o2694?=zj8ih6=4=:183!2428n0D9o4H568m43=831d?94?::a5fb=8381<7>t$5195a=O>i4<3:17pl>cd83>7<729q/8>4>d:J7e>N3<2c:97>5;n17>5<52;294~"3;3;o7E:n;I67?l722900c>:50;9~f4b729096=4?{%60>4b<@=k0D9:4i0794?=h;=0;66sm1e394?5=83:p(9=52:J7e>N3<2c::7>5;h34>5<1<75rb0a6>5<2290;w):<:59K0d=O<=1b=;4?::k23?6=3`;36=44i0;94?=h;=0;66sm1b494?2=83:p(9=53:J7e>N3<2c::7>5;h34>5<>{e9j=1<7=50;2x 15=;?1C8l5G459j53<722c:;7>5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th:o44?:283>5}#<:08:6F;a:J70>o6>3:17d?8:188k62=831vn<86:180>5<7s->86?5G4`9K01=n9?0;66g>7;29?j532900qo?9f;297?6=8r.??7<4H5c8L12>i4<3:17pl>7183>7<729q/8>4>d:J7e>N3<2c:97>5;n17>5<52;294~"3;3;o7E:n;I67?l722900c>:50;9~f41529096=4?{%60>4b<@=k0D9:4i0794?=h;=0;66sm16194?4=83:p(9=51e9K0d=O<=1b=84?::m00?6=3th:;94?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg70=3:1>7>50z&77?7c3A>j7E:;;h36>5<1<75rb055>5<4290;w):<:39K0d=O<=1b=;4?::k23?6=3f9?6=44}c35e?6==3:10e<850;9j52<722c:47>5;h3:>5<1<75rb04a>5<3290;w):<:29K0d=O<=1b=;4?::k23?6=3`;36=44o2694?=zj85}#<:097E:n;I67?l712900e<950;9l71<722wi=;k50;194?6|,=91?;5G4`9K01=n9?0;66g>7;29?j532900qo?k4;297?6=8r.??7<4H5c8L12>i4<3:17pl>d`83>6<729q/8>4=;I6b?M233`;=6=44i0594?=h;=0;66sm1e`94?4=83:p(9=51e9K0d=O<=1b=84?::m00?6=3th:hn4?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg7cl3:1>7>50z&77?7c3A>j7E:;;h36>5<1<75rb0ff>5<5290;w):<:0f8L1g<@=>0e<;50;9l71<722wi=ih50;094?6|,=91=i5G4`9K01=n9<0;66a<4;29?xd6m90;6?4?:1y'06<6l2B?m6F;4:k21?6=3f9?6=44}c3f5?6=;3:10e<850;9j52<722e887>5;|`2`0<72<0;6=u+4287?M2f3A>?7d?9:188m41=831b=54?::k2=?6=3f9?6=44}c3g2?6=<3:10e<850;9j52<722c:47>5;n17>5<53;294~"3;39=7E:n;I67?l712900e<950;9l71<722wi=i650;194?6|,=91>6F;a:J70>o6>3:17d?8:188k62=831vn5<7s->86>84H5c8L12>i4<3:17pl>7883>6<729q/8>4=;I6b?M233`;=6=44i0594?=h;=0;66sm16f94?4=83:p(9=51e9K0d=O<=1b=84?::m00?6=3th:;h4?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg70n3:1>7>50z&77?7c3A>j7E:;;h36>5<1<75rb0:3>5<5290;w):<:0f8L1g<@=>0e<;50;9l71<722wi=5?50;094?6|,=91=i5G4`9K01=n9<0;66a<4;29?xd60;0;6>4?:1y'06<53A>j7E:;;h35>5<>{e9191<7:50;2x 15=;2B?m6F;4:k22?6=3`;<6=44i0:94?=h;=0;66sm19694?2=83:p(9=53:J7e>N3<2c::7>5;h34>5<>{e9>k1<7=50;2x 15=;?1C8l5G459j53<722c:;7>5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th:;n4?:283>5}#<:08:6F;a:J70>o6>3:17d?8:188k62=831vn5<7s->86?5G4`9K01=n9?0;66g>7;29?j532900qo?ja;297?6=8r.??7<4H5c8L12>i4<3:17pl>ec83>7<729q/8>4>d:J7e>N3<2c:97>5;n17>5<52;294~"3;3;o7E:n;I67?l722900c>:50;9~f4cc29096=4?{%60>4b<@=k0D9:4i0794?=h;=0;66sm1dg94?4=83:p(9=51e9K0d=O<=1b=84?::m00?6=3th:ik4?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg7a83:1>7>50z&77?7c3A>j7E:;;h36>5<1<75rb0d2>5<4290;w):<:39K0d=O<=1b=;4?::k23?6=3f9?6=44}c3f1?6==3:10e<850;9j52<722c:47>5;h3:>5<1<75rb0g5>5<3290;w):<:29K0d=O<=1b=;4?::k23?6=3`;36=44o2694?=zj8o<6=4<:183!242:<0D9o4H568m40=831b=:4?::m00?6=3th:i54?:283>5}#<:097E:n;I67?l712900e<950;9l71<722wi=h750;194?6|,=91?;5G4`9K01=n9?0;66g>7;29?j532900qo?i4;297?6=8r.??7<4H5c8L12>i4<3:17pl>f`83>6<729q/8>4=;I6b?M233`;=6=44i0594?=h;=0;66sm1g`94?4=83:p(9=51e9K0d=O<=1b=84?::m00?6=3th:jn4?:383>5}#<:0:h6F;a:J70>o6=3:17b=;:188yg7al3:1>7>50z&77?7c3A>j7E:;;h36>5<1<75rb0df>5<5290;w):<:0f8L1g<@=>0e<;50;9l71<722wi=kh50;094?6|,=91=i5G4`9K01=n9<0;66a<4;29?xd5890;6?4?:1y'06<6l2B?m6F;4:k21?6=3f9?6=44}c035?6=;3:10e<850;9j52<722e887>5;|`2b0<72<0;6=u+4287?M2f3A>?7d?9:188m41=831b=54?::k2=?6=3f9?6=44}c3e2?6=<3:10e<850;9j52<722c:47>5;n17>5<53;294~"3;39=7E:n;I67?l712900e<950;9l71<722wi=k650;194?6|,=91>6F;a:J70>o6>3:17d?8:188k62=831vn5<7s->86>84H5c8L12>i4<3:17pl=0583>6<729q/8>4=;I6b?M233`;=6=44i0594?=h;=0;66sm21c94?5=83:p(9=52:J7e>N3<2c::7>5;h34>5<1<75rb32a>5<5290;w):<:0f8L1g<@=>0e<;50;9l71<722wi>=m50;094?6|,=91=i5G4`9K01=n9<0;66a<4;29?xd58m0;6?4?:1y'06<6l2B?m6F;4:k21?6=3f9?6=44}c03a?6=:3:1?7d?::188k62=831vn?>i:181>5<7s->86>{e:8:1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`154<72:0;6=u+4281?M2f3A>?7d?9:188m41=831d?94?::a653=83?1<7>t$5190>N3i2B?86g>6;29?l702900e<650;9j5<<722e887>5;|`143<72=0;6=u+4280?M2f3A>?7d?9:188m41=831b=54?::m00?6=3th9<:4?:283>5}#<:08:6F;a:J70>o6>3:17d?8:188k62=831vn?>7:180>5<7s->86?5G4`9K01=n9?0;66g>7;29?j532900qoN3<2c::7>5;h34>5<1<75rb33b>5<4290;w):<:39K0d=O<=1b=;4?::k23?6=3f9?6=44}c02f?6=:3:1?7d?::188k62=831vn??l:181>5<7s->86>{e:8n1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`15`<72;0;6=u+4282`>N3i2B?86g>5;29?j532900qo<>f;296?6=8r.??7?k;I6b?M233`;>6=44o2694?=zj;8;6=4=:183!2428n0D9o4H568m43=831d?94?::a677=8391<7>t$5196>N3i2B?86g>6;29?l702900c>:50;9~f772290>6=4?{%60>1=O>o6?3:17d?7:188m4?=831d?94?::a640=83>1<7>t$5197>N3i2B?86g>6;29?l702900e<650;9l71<722wi><950;194?6|,=91?;5G4`9K01=n9?0;66g>7;29?j532900qo<>8;297?6=8r.??7<4H5c8L12>i4<3:17pl=1883>6<729q/8>4<6:J7e>N3<2c::7>5;h34>5<1<75rb03f>5<5290;w):<:0f8L1g<@=>0e<;50;9l71<722wi=6F;a:J70>o6>3:17d?8:188k62=831vn<5<7s->86>{e9;;1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`267<72:0;6=u+4281?M2f3A>?7d?9:188m41=831d?94?::a575=8391<7>t$5196>N3i2B?86g>6;29?l702900c>:50;9~f44329086=4?{%60>60<@=k0D9:4i0494?=n9>0;66a<4;29?xd6:<0;694?:1y'06<43A>j7E:;;h35>5<>i4<3:17pl>2783>6<729q/8>4<6:J7e>N3<2c::7>5;h34>5<1<75rb346>5<4290;w):<:39K0d=O<=1b=;4?::k23?6=3f9?6=44}c05?7d?::188k62=831vn?86:181>5<7s->86>{e:?k1<7<50;2x 15=9m1C8l5G459j50<722e887>5;|`12g<72;0;6=u+4282`>N3i2B?86g>5;29?j532900qo<9c;296?6=8r.??7?k;I6b?M233`;>6=44o2694?=zj;5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th9:k4?:283>5}#<:097E:n;I67?l712900e<950;9l71<722wi>;850;194?6|,=91?;5G4`9K01=n9?0;66g>7;29?j532900qo<97;290?6=8r.??7=4H5c8L12>o603:17b=;:188yg`d29086=4?{%60>7=O>o6?3:17b=;:188yg`c29096=4?{%60>4b<@=k0D9:4i0794?=h;=0;66smfd83>7<729q/8>4>d:J7e>N3<2c:97>5;n17>5<5;n17>5<53;294~"3;380D9o4H568m40=831b=:4?::m00?6=3th:<<4?:283>5}#<:08:6F;a:J70>o6>3:17d?8:188k62=831vn<>=:180>5<7s->86?5G4`9K01=n9?0;66g>7;29?j532900qo??3;290?6=8r.??7=8;I6b?M233`;=6=44i0594?=n910;66a<4;29?xd313:1>7>50z&77?7e3A>j7E:;;h36>5<1<75rb0694?4=83:p(9=51c9K0d=O<=1b=84?::m00?6=3th?>7>52;294~"3;39>7E:n;I67?l722900c>:50;9~f7`=8381<7>t$51970=O>i4<3:17pl=e;296?6=8r.??7=:;I6b?M233`;>6=44o2694?=zj;n1<7<50;2x 15=;<1C8l5G459j50<722e887>5;|`1g?6=:3:1?7d?::188k62=831vn?l50;094?6|,=91?85G4`9K01=n9<0;66a<4;29?xd5i3:1>7>50z&77?523A>j7E:;;h36>5<1<75rb3;94?4=83:p(9=5349K0d=O<=1b=84?::m00?6=3th947>52;294~"3;39>7E:n;I67?l722900c>:50;9~w45=838pR<=4=06950=z{8>1<740<5;=<6<;4=4:950=:=k0::63i3;36?8`128<01<7l:07894?a28<01<6m:07894>b28<01?6?:048947128?01<=?:078942728?01m:078977e28?01<5:?20?533ty:j7>52z\2b>;5039?7p}=0;296~X5827957=;;|q15?6=:rT9=63=a;17?xu5:3:1>vP=2:?1f?533ty9?7>52z\17>;5k39?7p}=4;296~X5<279h7=;;|q11?6=:rT9963=e;17?xu5?3:1>vP=7:?1b?533ty8>7>51dy>0g<6927:<846;<=::>;1563>268:?877<3301<=8:89>5d2=127:8:46;<3a6??<58?<64521b09=>;6>>0270?k2;;894102016=h<59:?2<0<>34;m>774=0;0><=::981563=138:?842k3301?8<:89>17<>34n?64522509=>;5;l0270<?959:p04<72;qU8<5243800>{t<10;6?uQ499>0<<6=2wx844?:3`x94622>16ii48;<045?1<588<6:5211693>;6;>0<70?n4;5894202>16=o<57:?212<034;h>794=044>2=:9m81;63>7684?87b:3=01<6::69>5c4=?27:5>48;<036?1<5;;96:5224a93>;5>:0<70;=:69>`1<034=j6:527784?8152>165i48;<;:>2=:1<0<707>:69>62>16;<48;<4`>2=::=81;63=3d84?844i3=01?=9:69>671=?27?57=;;|q65?6=:;q69?46<;4=45953=::;n1=:52eg822>;a?3;>70?68;35?87f83;>70?77;35?87?n3;>70<8b;36?84?93;<70?>5;35?875n3;=70?3;=70?;f;35?87ei3;=70?:f;35?87di3;=70?9f;35?87ci3;=70?89;35?87bi3;=70?ia;35?847i3;=70<>a;35?876m3;>70?>f;35?841?3;<70??2;35?xu2;3:1>8u2538`?8b32j16=485179>`5<6=27o57=;;41<5;?36<;4=313>43<5;<;6<;4=02e>40<58;i6<;4=00:>40<589>6<;4=01:>40<58>>6<;4=0cf>43<58>26<84=076>43<58h?6<84=0a3>43<58?26<84=046>43<58i?6<84=0f3>43<58<26<84=056>43<58n?6<84=0g3>43<582:6<;4=0g7>40<58l;6<;4=0d7>40<5;:;6<;4=327>40<5;;;6<;4=337>40<5;8;6<;4}r77>5<5s4?9655257800>{t=<0;6?u254800>;2j3;<7p}:7;296~;2?39?70;j:058yv3?2909w0;7:26890e=9>1v8750;0x90?=;=169i4>6:p1d<72;q69l4<4:?6`?703ty>n7>52z?6f?5334?=6<84}r7`>5<5s4?h6>:4=4g953=z{7}:=l08863:6;34?xu2n3:19v39c;1g?8g32m16:k4>5:?ba?7034;i87?8;|q5`?6=:r7=o7m4=62971=z{?o1<77}:>o0886380;34?xu1;3:18v381;1g?8g32l16mh4>6:?2g1<6?2wx:=4?:5y>37<4l27j=7k4=b2953=:9=31=:5rs6194?4|5>81o6385;17?xu0<3:1>v384;17?81228=0q~8>:1878112:n01l<5e:?`6?7134;>57?8;|q43?6=:r7<:7m4=6;971=z{>21<76}:?h08h63n3;g8940>28=0q~9m:18181f2j16;i4<4:p3f<72;q6;n4<4:?4`?703ty52z?45?e<51:1?95rs6d94?4|5>l1?95281823>{t>=0;69u28080`>;f=3o01o>5179>5a2=9>1v5<50;0x9=7=k27387=;;|q;7?6=:r73?7=;;<:7>416=4;{<:6>6b<5h<1i63m2;35?87b<3;<7p}76;296~;?=3i01565359~w=1=838p1595359><=<6?2wx:;4?:5y><<<4l27j;7k4=c6953=:9o>1=:5rs9c94?4|5131o637c;17?xu?j3:1>v37b;17?8>d28=0q~88:1878>c2:n01l65e:?a2?71348;87?8;|q;a?6=:r73h7m4=82971=z{1l1<71}:1808h63n9;g89g>=9?16><:5169~w<4=838p14?5c:?:0?533ty2?7>52z?:7?53343?6<94}r4:>5<3s43>6>j4=`c9a>;ei3;=70??f;34?xu>>3:1>v365;a89<>=;=1v4950;0x9<1=;=16554>7:p2d<72=q6544;>k39?7p}6b;296~;>j39?707l:058yv0e290?w07k:2f89de=m27ii7?9;<30=?703ty2i7>52z?:`?e<5h:1?95rs8d94?4|50l1?952a1823>{tim0;6>u2a48g?8gb2:>01o>5169~wd`=839p1l85d:?a4?5334h96<94}r`2>5<4s4k<6i52b3800>;e<3;<7p}m3;297~;f03n01o:5359>f3<6?2wxn84?:2y>e<:4=c:952=z{k=1<7=t=`c9`>;e039?70ln:058yvd>2908w0om:e9>fd<4<27io7?8;|qaf?6=;r7jo7j4=ca971=:jl0:;6s|be83>6}:i80o70lj:2689f6=9>1voh50;1x9d4=l27h<7=;;41a=:k;0886s|c283>7}:i808i6385;35?xud<3:1>v3n2;1f?81>28<0q~m::1818g42:o01:j5179~wf0=838p1l:53d9><5<6>2wxo:4?:3y>e0<4m27387?9;|q`406c<51i1=;5rsbc94?4|5h21?h5291822>{tkk0;6?u2a880a>;><3;=7p}lc;296~;fi39n7077:048yvec2909w0om:2g896:pgc<72lq6h<4<4:?4g?7234=36<;4=66950=:1o0:9636b;36?8?028?014=5149>43<5191=8527g821>;1m3;>7p}k0;296~;c839?70j>:058yvb4290:jv3k4;1g?87>=3;>70j>:0489a0=9?16>895149>1d<6=279?<4>5:?e1?7234;2i7?:;<3;`?723483?7?9;<06b?7234;:47?:;<306?7234;?>7?:;<3b3?7134;>>7?:;<3a`?7234;=>7?:;<3``?7234;<>7?:;<3g`?7234;7}:mm08h63;2;36?xubm3:1>v3jd;a89c4=;=1vhh50;0x9``=;=16jl4>7:pb5<72;q6j=4<4:?e5?713tym=7>52z?e5?5334l96<84}rd0>5<5s4l86>:4=g:952=z{o>1<77}:n<08863i1;34?xua>3:1>v3i6;17?8`>28<0q~h8:1818`02:>01k75169~wc>=838p1k65359>bd<6>2wxj44?:3y>b<<4<27m>7?8;|qee?6=:r7mm7=;;41==:99>1463>a58;?870?3201<6::99>5<5=027:<>4<4:pbf<72;q6jn4<4:?eb?713tymh7>52z?e`?5334lm6<94}rdf>5<5s4ln6>:4=023>4162<58::6<94}r334?6=:r7:<=4<4:?246<602wx==?50;0x94662:>01<>=:058yv77:3:1>v3>03800>;68:0::6s|e583>6}:99>1?i52f7823>;a83;=7p}kb;297~;68<08h63=16822>;5j3;>7p}>0783>43|5;?h6n522719g>;5=?0:963>08800>;5:o0:963=5d822>;6900:963>32821>;6<:0:963>ae821>;6=:0:963>bd821>;6>:0:963>cd821>;6?:0:963>dd821>;6090:963>ed821>;6nl0:963=0d821>;59l0:96s|11594?4|58:<6>:4=02:>4052z?24=<4<27:<44>8:p55g=83;8w0<81;a8946e2:>01?9i:078973b28=010c823>;5=<0::63>0e800>;5;:0:;6s|11g94?4|58:>6n52106971=z{8:m6=4={<33b?5334;:87?9;|q255<72;q6=<>5359>547=911v:181876939?70?>2;34?xu69;0;6?u2100971=:9891=;5rs030>5<5s4;:?7=;;<320?703ty:=84?:3y>543=;=16=6;17?876k3;<7p}>1683>7}:98=1?952102953=z{8;36=4={<32546=911v0;3:?xu69k0;6?u210`971=:9891=:5rs03`>5<5s4;:o7=;;<325?713ty:=i4?:dy>553=027:>:47;<303?><58><65521c09<>;6=>0370?l2;:894002116=i<58:?2a7764=321>==::881463>27800>{t98o1<762<58896<94}r32b?6=:r7:=k4<4:?260<6?2wx=?>50;0x94472:>01<<<:058yv7593:1>v3>20800>;6:<0:46s|13094?4|58896>:4=007>4152z?266<4<27:>84>6:p572=838p1<<;:268944128<0q~?=5;296~;6:<08863>27823>{tlj0;6>u213597a=:9881=;522b821>{t9;21<7f=:9;o1?95rs00:>5<5s4;957=;;<31a?713ty:>l4?:3y>57g=;=16=?l5199~w44e2909w0?=b;17?875k3;<7p}>2b83>7}:9;i1?95213f953=z{88o6=4={<31`?5334;9i7?8;|q26c<72;q6=?h5359>57d=9>1v<=?:181874839?70?<6;34?xu6;80;6?u2123971=:9;k1=;5rs011>5<5s4;8>7=;;<31e?703ty:?>4?:3y>565=;=16=?o5199~w4532909w0?<4;17?875i3;27p}>3483>7}:9:?1?95213f952=z{89=6=4={<302?5334;9n7?9;|qg`?6=;r7:?:4279h7?:;|q27=<72;q6=>95c:?27`<4<2wx=>750;0x945>2:>01<=j:048yv74i3:1>v3>3`800>;6;k0:46s|12`94?4|589i6>:4=01`>4152z?27f<4<27:?i4>6:p56b=838p1<=k:268945b28=0q~?3c823>{t9=:1<762<58>=6<94}r375?6=:r7:8<4<4:?27d<6>2wx=9<50;0x94252:>01<=n:058yv73;3:1>v3>42800>;6;h0:46s|15694?4|58>?6>:4=01b>4?52z?200<4<27:?i4>7:p510=838p1<:9:268945e28<0q~jj:181873?39o70?;626>:4=06f>4052z?20d<4<27:8o4>8:p51d=838p1<:m:268942d28=0q~?;c;296~;64e822>{t9=n1<762<58>n6<94}r37b?6=:r7:8k4<4:?20g<6?2wx=8>50;0x94372:>01<;9:058yv7293:1>v3>50800>;6:4=06b>41?7>52z?216<4<27:8l4>8:p502=838p1<;;:268942f2830q~?:5;296~;6=<08863>4e823>{t9<<1<762<58>i6<84}rfe>5<5s4;>;7=k;<37g?713ty:954?:3y>501=k27:9h4<4:p50?=838p1<;6:268943b28<0q~?:a;296~;6=h08863>5c82<>{t962<58?h6<94}r36g?6=:r7:9n4<4:?21a<6>2wx=8j50;0x943c2:>01<;j:058yv72n3:1>v3>5g800>;6=k0:;6s|17294?4|58<;6>:4=045>4152z?224<4<27:9l4>6:p534=838p1<8=:268943f28=0q~?93;296~;6>:08863>5`82<>{t9?>1<762<58?j6<74}r351?6=:r7::84<4:?21a<6?2wx=;850;0x94012:>01<;m:048yvc72909w0?97;1g?872k3;=7p}>6983>7}:9?=1o63>6d800>{t9?31<762<5801<8l:058yv71k3:1>v3>6b800>;6>m0::6s|17f94?4|58:4=04f>4152z?22c<4<27::o4>7:p526=838p1<9?:268941128=0q~?81;296~;6?808863>6`822>{t9>81<762<584<4:?22d<602wx=::50;0x94132:>01<8n:0;8yv70=3:1>v3>74800>;6>m0:;6s|16494?4|58==6>:4=04a>402:>01<6;:058yv70i3:1>v3>7`800>;6?k0::6s|16`94?4|58=i6>:4=05`>4152z?23a<4<27:4?4>7:p52c=838p1<9j:26894>428=0q~?8f;296~;6?o08863>82822>{t91:1<762<58286<64}r3;5?6=:r7:4<4<4:?23g<6?2wx=5<50;0x94>52:>01<6;:048yv7?;3:1>v3>82800>;60=0:46s|19694?4|582?6>:4=05b>4102:>01<7=:058yv7?03:1>v3>89800>;6000::6s|19;94?4|58226>:4=0:b>4052z?27:p5=e=838p1<6l:26894?52820q~?7d;296~;60m08863>88823>{t91o1<762<583:6<84}r3;b?6=:r7:4k4<4:?2=4<6?2wx=4>50;0x94?72:>01<7=:048yv7>93:1>v3>90800>;60h0:;6s|18094?4|58396>:4=0:;>415<5=k27:5;4<4:p5<3=838p1<7::26894?128=0q~?67;296~;68=0h70?6b;17?xu6110;6?u218:971=:9h91=:5rs0;:>5<5s4;257=;;<3:e?713ty:5l4?:3y>59e83>7}:90n1?9521`195==z{83n6=4={<3:a?5334;2m7?8;|q2=c<72;q6=4h5359>5d4=9?1v5<5s4;j>7=;;<3:f?703ty:m>4?:3y>5d5=;=16=475169~w`3=839p1v3>a58`?87f139?7p}>a783>7}:9h<1?9521c3952=z{8k<6=4={<3b3?5334;j47?9;|q2e=<72;q6=l65359>5d?=9>1v5<5s4;jo7=;;<3a4?713ty:mi4?:3y>5db=;=16=o>5199~w4gb2909w0?ne;17?87f03;<7p}>ag83>7}:9hl1?9521c3953=z{8h;6=4={<3a4?5334;i=7?7;|q2f4<72;q6=o?5359>5d1=9>1vh850;0x94d52:n01v3>b38`?87e139?7p}>b583>7}:9k>1?9521c;953=z{8h>6=4={<3a1?5334;i:7?7;|q2f3<72;q6=o85359>5g1=9>1v5<5s4;im7=;;<3a2?703ty:no4?:3y>5gd=;=16=n?5169~w4dd2909w0?mc;17?87e=3;=7p}>be83>7}:9kn1?9521c7952=z{8hn6=4={<3aa?5334;i97?7;|q2fc<72;q6=oh5359>5g3=901vj4=0`4>4052z?2g75f?=9?1v5<5s4;h;7=;;<3`5f>=;=16=n75169~w4ef2909w0?la;17?87d>3;<7p}>cc83>7}:9jh1?9521e3952=z{8ih6=4={<3`g?5334;h97?9;|q2ga<72;q6=nj5359>5f3=9>1v5<5s4;o<7=;;<3`5a7=;=16=n85179~w`>=838p15<5s4;o97=;;<3g2?7?3ty:h;4?:3y>5a0=;=16=i95169~w4b02909w0?k7;17?87c03;=7p}>d983>7}:9m21?9521e;952=z{8nj6=4={<3ge?5334;o:7?8;|q2`g<72;q6=il5359>5`7=9>1v5<5s4;oi7=;;<3g1?7?3ty:hk4?:3y>5a`=;=16=i;5189~w4c72909w0?j0;17?87c03;<7p}>e083>7}:9l;1?9521e4953=z{l31<76b<58n<6<84}r3f7?6=:r7:i?4l;<3f=?533ty:i94?:3y>5`2=;=16=h75179~w4c22909w0?j5;17?87b>3;37p}>e783>7}:9l<1?9521d5952=z{8o<6=4={<3f3?5334;n47?9;|q2a=<72;q6=h65359>5`?=9>1v5<5s4;no7=;;<3f1?713ty:ii4?:3y>5`b=;=16=h;5169~w4cb2909w0?je;17?87b=3;37p}>eg83>7}:9ll1?9521d795<=z{8l;6=4={<3e4?5334;n47?8;|q2b4<72;q6=k?5359>5`0=9?1vho50;1x94`52:n01=9<1vv3>f5800>;6n00::6s|1g794?4|58l>6>:4=0d5>4>52z?2b3<4<27:j:4>7:p5c1=838p1f8823>{t9ok1<762<58l=6<94}r3ef?6=:r7:jo4<4:?144<6?2wx=km50;0x94`d2:>01v3>fe800>;6n<0:;6s|1gg94?4|58ln6>:4=0d6>4>52z?2bc<4<27:j84>9:p656=838p1?>?:26894`?28=0q~f7822>{tmk0;6>u221097a=:9o=1=;5228821>{t:991<7f=::931?95rs327>5<5s48;87=;;<03=?713ty9<84?:3y>653=;=16>=85199~w7612909w07}::9=1?95221:953=z{;:36=4={<03=o5359>650=9>1v?>m:181847j39?70<>1;34?xu58j0;6?u221a971=::9?1=;5rs32g>5<5s48;h7=;;<031?703ty965c=;=16>=;5199~w76a2909w07}::8:1?95221:952=z{;;:6=4={<025?53348;:7?9;|qfg?6=;r79=?4279m7?:;|q156<72;q6><<5c:?15<<4<2wx><:50;0x97732:>01??6:048yv46=3:1>v3=14800>;59?0:46s|20494?4|5;;=6>:4=334>4152z?152<4<279=54>6:p64>=838p1??7:268977>28=0q~<>a;296~;59h08863=17823>{t:8h1<762<5;8:6<94}r02g?6=:r79=n4<4:?150<6>2wx>01??::058yv46m3:1>v3=1d800>;59<0:46s|20d94?4|5;;m6>:4=336>4?52z?165<4<279=54>7:p677=838p1?<>:268977128<0q~<=2;291~;5:>08h63=478g?845i3;>70<;b;34?877l3;=7p}=2983>7}::;=1o63=2c800>{t:;31<762<5;8i6<84}r01e?6=:r79>l4<4:?16g<6?2wx>?m50;4x97402116>9<58:?17`==:::?1?95rs30g>5<5s489h7=;;<006?713ty9>h4?:3y>67c=;=16>><5169~w74a2909w0<=f;17?844;3;=7p}=3183>7}::::1?95222195==z{;9:6=4={<005?53348897?7;|q177<72;q6>><5359>662=9?1v?=<:181844;39?70<<4;34?xu5;=0;6?u2226971=:::?1=;5rs300>5<3s488:7=k;<072?c<5;>i6<84=02g>4152z?173>65359>66?=9>1v?<;:187844i39o70<;7;g8972c28<01<>k:0:8yv44j3:1>v3=3`8`?844l39?7p}=3b83>7}:::i1?95222f952=z{;8>6=4;{<00a?5c348?47k4=36e>40<58:o6<74}r00b?6=:r79?h4l;<075?533ty98=4?:3y>616=;=16>9?5169~w7412908w0<;2;1g?84313o01<>k:0c8yv43;3:1>v3=438`?843=39?7p}=4583>7}::=>1?952257952=z{;>j6=4<{<073?b<5;>i6>:4=36g>4153z?10=61?=l2798k4<4:p606=838p1?:9:2g8975>28<0q~<:1;296~;5<>08i63=3e822>{t:<81<76c<5;>:6<84}r067?6=:r798442wx>8:50;4x972328?01?:?:078975d28?01?=7:078974>28?01?;n:268yv42=3:1>v3=54800>;5=h0:;6s|24494?4|5;?=6>:4=37:>40;7>52z?112<4<279944>7:p60>=838p1?;7:268973f2820q~<:9;296~;5=008863=5`822>{t:43<5;9>6<94=g6950=:90n1=85219a950=:98=1=852123950=:9=;1=8521``950=:9<;1=8521ca950=:9?;1=8521ba950=:9>;1=8521ea950=:9>o1=8521da950=:9oi1=85221a950=::8i1=852136953=::?31=852111952=::l0:96s|24f94?4|5;?h6552273971=z{;?n6=4={<06a?53348==7?8;|q11c<72;q6>8h5359>637=9?1v?8?:181841839?70<91;3;?xu5>;0;66b<58:36<;4=35;>40<5a0822>;6190::63=7e821>;69j0::63>37822>;6ag822>;6=?0::63>c0822>;6>?0::63>d0822>;6??0::63>e0822>;60;0::63>f0822>;5880::63=10822>;5:80::63>22822>;5><0:;63=6c821>;ak3;<7p}=6583>7}::?91463=66800>{t:??1<762<5;2wx>;650;0x970?2:>01?8k:048yv4113:1>v3=68800>;5>m0:;6s|27c94?4|5;:4=34f>4052z?12g<4<279:h4>7:p63e=838p1?8l:26897002820q~<9d;296~;5>m08863=6g823>{t:?o1<762<5;<=6<94}r05b?6=:r79:k4<4:?123<6>2wx>:>50;3g840939o70??7;36?840=3;=70;8:058974b28?01hh5169>5<>=9>16=595169>62e=9<16=<;5169>57`=9>16=>h5169>5d0=9>16=9h5169>5gg=9>16=8h5169>5fg=9>16=;h5169>5ag=9>16=:75169>5`g=9>16=ko5169>65g=9>16>54`=9>16>;;5179>63g=9<16jn4>6:?1b?723ty9;?4?:3y>627=0279;>4<4:p622=838p1?96:268971b28?0q~<85;296~;5?<08863=78823>{t:><1<762<5;=36<94}r043?6=:r79;:4<4:?13<<602wx>:650;0x971?2:>01?96:048yv40i3:1>v3=72821>;50:0886s|26`94?4|5;=i6>:4=3:3>4152z?13f<4<2794<4>6:p62b=838p1?9k:26897>62820q~<8e;296~;5?l08863=83822>{t:>l1<762<5;296<94}r0;4?6=:r794=4<4:?1<6<6?2wx>5?50;0x97>62:>01?6<:0:8yv4?:3:1>v3=83800>;50:0:56srn671>5<6sA>?7p`85283>4}O<=1vb:;;:182M233td<984?:0yK01=zf>?=6=4>{I67?xh0=>0;65<6sA>?7p`85c83>4}O<=1vb:;l:182M233td<9i4?:0yK01=zf>?n6=4>{I67?xh0=o0;65<6sA>?7p`86283>4}O<=1vb:8;:182M233td<:84?:0yK01=zf><=6=4>{I67?xh0>>0;65<6sA>?7p`86c83>4}O<=1vb:8l:181M233td<:i4?:0yK01=zf>{I67?xh0>o0;65<6sA>?7p`87283>4}O<=1vb:9;:181M233td<;84?:0yK01=zf>==6=4>{I67?xh0?>0;6?uG459~j21?290:wE:;;|l43<<728qC895rn65b>5<6sA>?7p`87c83>4}O<=1vb:9l:181M233td<;i4?:0yK01=zf>=n6=4>{I67?xh0?o0;6?uG459~j2>7290:wE:;;|l4<4<728qC895rn6:1>5<5sA>?7p`88283>4}O<=1vb:6;:182M233td<484?:3yK01=zf>2=6=4>{I67?xh00>0;6?2909wE:;;|l4<<<728qC895rn6:b>5<6sA>?7p`88c83>7}O<=1vb:6l:182M233td<4i4?:0yK01=zf>2n6=4={I67?xh00o0;65<6sA>?7p`89283>4}O<=1vb:7;:181M233td<584?:3yK01=zf>3=6=4={I67?xh01>0;6?uG459~j2??2909wE:;;|l4=<<72;qC895rn6;b>5<5sA>?7p`89c83>7}O<=1vb:7l:181M233td<5i4?:3yK01=zf>3n6=4={I67?xh01o0;6?uG459~j2g72909wE:;;|l4e4<72;qC895rn6c1>5<5sA>?7p`8a283>7}O<=1vb:o;:181M233tdk=6=4={I67?xh0i>0;6?uG459~j2g?2909wE:;;|l4e<<72;qC895rn6cb>5<5sA>?7p`8ac83>4}O<=1vb:ol:182M233tdkn6=4>{I67?xh0io0;65<6sA>?7p`8b283>4}O<=1vb:l;:182M233tdh=6=4>{I67?xh0j>0;65<6sA>?7p`8bc83>4}O<=1vb:ll:182M233tdhn6=4>{I67?xh0jo0;65<6sA>?7p`8c283>4}O<=1vb:m;:182M233tdi=6=4>{I67?xh0k>0;65<6sA>?7p`8cc83>4}O<=1vb:ml:182M233tdin6=4>{I67?xh0ko0;65<6sA>?7p`8d283>4}O<=1vb:j;:182M233tdn=6=4>{I67?xh0l>0;65<6sA>?7p`8dc83>4}O<=1vb:jl:182M233tdnn6=4>{I67?xh0lo0;65<6sA>?7p`8e283>4}O<=1vb:k;:182M233tdo=6=4>{I67?xh0m>0;65<6sA>?7p`8ec83>4}O<=1vb:kl:182M233tdon6=4>{I67?xh0mo0;65<6sA>?7p`8f283>4}O<=1vb:h;:182M233tdl=6=4>{I67?xh0n>0;65<6sA>?7p`8fc83>4}O<=1vb:hl:182M233tdln6=4>{I67?xh0no0;65<6sA>?7p`70283>4}O<=1vb5>;:182M233td3<84?:0yK01=zf1:=6=4>{I67?xh?8>0;65<6sA>?7p`70c83>4}O<=1vb5>l:182M233td3{I67?xh?8o0;65<6sA>?7p`71283>4}O<=1vb5?;:182M233td3=84?:0yK01=zf1;=6=4>{I67?xh?9>0;65<6sA>?7p`71c83>4}O<=1vb5?l:182M233td3=i4?:0yK01=zf1;n6=4>{I67?xh?9o0;65<6sA>?7p`72283>4}O<=1vb5<;:182M233td3>84?:0yK01=zf18=6=4>{I67?xh?:>0;65<6sA>?7p`72c83>4}O<=1vb5i4?:0yK01=zf18n6=4>{I67?xh?:o0;65<6sA>?7p`73283>4}O<=1vb5=;:182M233td3?84?:0yK01=zf19=6=4>{I67?xh?;>0;65<6sA>?7p`73c83>4}O<=1vb5=l:182M233td3?i4?:0yK01=zf19n6=4>{I67?xh?;o0;65<6sA>?7p`74283>4}O<=1vb5:;:182M233td3884?:0yK01=zf1>=6=4>{I67?xh?<>0;65<6sA>?7p`74c83>4}O<=1vb5:l:182M233td38i4?:0yK01=zf1>n6=4>{I67?xh?5<6sA>?7p`75283>4}O<=1vb5;;:182M233td3984?:0yK01=zf1?=6=4>{I67?xh?=>0;65<6sA>?7p`75c83>4}O<=1vb5;l:182M233td39i4?:0yK01=zf1?n6=4>{I67?xh?=o0;65<6sA>?7p`76283>4}O<=1vb58;:182M233td3:84?:0yK01=zf1<=6=4>{I67?xh?>>0;65<6sA>?7p`76c83>4}O<=1vb58l:182M233td3:i4?:0yK01=zf1{I67?xh?>o0;65<6sA>?7p`77283>4}O<=1vb59;:182M233td3;84?:0yK01=zf1==6=4>{I67?xh??>0;65<6sA>?7p`77c83>4}O<=1vb59l:182M233td3;i4?:0yK01=zf1=n6=4>{I67?xh??o0;67290:wE:;;|l;<4<728qC895rn9:1>5<6sA>?7p`78283>4}O<=1vb56;:182M233td3484?:0yK01=zf12=6=4>{I67?xh?0>0;6?290:wE:;;|l;<<<728qC895rn9:b>5<6sA>?7p`78c83>4}O<=1vb56l:182M233td34i4?:0yK01=zf12n6=4>{I67?xh?0o0;65<6sA>?7p`79283>4}O<=1vb57;:182M233td3584?:0yK01=zf13=6=4>{I67?xh?1>0;65<6sA>?7p`79c83>4}O<=1vb57l:182M233td35i4?:0yK01=zf13n6=4>{I67?xh?1o0;65<6sA>?7p`7a283>4}O<=1vb5o;:182M233td3m84?:0yK01=zf1k=6=4>{I67?xh?i>0;65<6sA>?7p`7ac83>4}O<=1vb5ol:182M233td3mi4?:0yK01=zf1kn6=4>{I67?xh?io0;65<6sA>?7p`7b283>4}O<=1vb5l;:182M233td3n84?:0yK01=zf1h=6=4>{I67?xh?j>0;65<6sA>?7p`7bc83>4}O<=1vb5ll:182M233td3ni4?:0yK01=zf1hn6=4>{I67?xh?jo0;65<6sA>?7p`7c283>4}O<=1vb5m;:182M233td3o84?:0yK01=zf1i=6=4>{I67?xh?k>0;65<6sA>?7p`7cc83>4}O<=1vb5ml:182M233td3oi4?:3yK01=zf1in6=4>{I67?xh?ko0;65<6sA>?7p`7d283>4}O<=1vb5j;:182M233td3h84?:0yK01=zf1n=6=4>{I67?xh?l>0;65<5sA>?7p`7dc83>4}O<=1vb5jl:182M233td3hi4?:3yK01=zf1nn6=4>{I67?xh?lo0;65<6sA>?7p`7e283>7}O<=1vb5k;:182M233td3i84?:0yK01=zf1o=6=4={I67?xh?m>0;6?uG459~j=c?2909wE:;;|l;a<<72;qC895rn9gb>5<5sA>?7p`7ec83>7}O<=1vb5kl:181M233td3ii4?:0yK01=zf1on6=4>{I67?xh?mo0;65<6sA>?7p`7f283>4}O<=1vb5h;:182M233td3j84?:0yK01=zf1l=6=4>{I67?xh?n>0;65<6sA>?7p`7fc83>4}O<=1vb5hl:182M233td3ji4?:0yK01=zf1ln6=4>{I67?xh?no0;65<6sA>?7p`60283>4}O<=1vb4>;:182M233td2<84?:0yK01=zf0:=6=4>{I67?xh>8>0;65<6sA>?7p`60c83>4}O<=1vb4>l:182M233td2{I67?xh>8o0;65<6sA>?7p`61283>4}O<=1vqpsO@Byb`3<4?:lh4;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?400380MCJ=4:CM@62E53JO87NBD3:AOV<=DGDGBXYKK7:AQADRBL81Oi6J]C^QVGFCT[O_G;6Jnt`>3:2=Ci}k7=364EYRBJACC981NT]OADDF\FFBXN@FN=95JXQCM@@BXKFDXX_OFNUQ25>C_XHDOIIQFSD]EMIC53ON?7KJLE59E@FV53OL37K\@M^W@B4=@;2MEH95GZHL:?M\NFVCIYK?4I39J47=N9;1B>?5F339J01=NJ\L97D@7;HLEAWTBL01BBDZ\T@VF7>OI^l1BBR@HD^CM@ZDDL?1BCNABP79JKQ_WMl1@IH^PHHGQEWEOQ?1GII2?>79OAA:66?1GII2=>79OAA:46?1GII2;>79OAA:26?1GII29>99OAA:0294=7AKK<6Q@BTDb?ICCW=UDNXHn;MGG[0YHJ\Lj7AKK_7]LFP@f3EOOS:Q@BTD4?IOEZHXR:6B@AEGG7>JHK>1GCJGLAMc8HUKXPFX9S0CO[I3:MMA7=HZ:1D^?=4OS10?JT3;2E^X<5_c:R-657499;8SA<4P008T752:R07>V39:1[8?=4P510?U23:2Z>>6^93:RB@1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF7>VUM81Z?6\\T79Qavsk|:1XIY:4TSWF6>R_?2^SSBLZF59W]UCf3\YN^ROCI@Q`?PUBZV\B_DLCE29UGF?<^@O\SYW_E0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI29[KW2^XGGO=7UQUESM5?]beW@ni7Ujg_QpjiLhqk2RodR^}ilVzt``0VH\@129bhwcflpyckkcov?4;753hfyiljvsumqaaei|V::m6ocrdcg}vrhzlnhbyQ?_^mq45679h1j`kndxqwkwcckg~T?0032g>gkzlkou~z`rdf`jqY7WVey<=>>113a?djumhnrya}eeampZ6XWfx;<=?=1c9bhwcflpyckkcov\4ZYhz9:;=>?m;`nqadb~{}eyiimat^2\[jt789;?=o5nlsgb`|usg{oooczP0^]lv5679<;i7lb}e`fzwqiummiexR>P_np345719k1j`kndxqwkwcckg~T?18a8gimdg|dm1>1d:aoofirf}k7==0k;bnhgjsi|h6:=3j4cmi`kphsi5;92n5lljalqkrf484h7nbdcnwmpd:56j1h`fm`uovb868d3jf`ob{at`>7:f=ddbidyczn<4<`?fjlkfexl29>b9`hneh}g~j0:0l;bnhgjsi|h632n5lljalqkrf404i7nbdcnwmpdY79=1h`fm`uovb[5YXign;<=>>5:aoofirf}kT5:aoofirf}kTekcje~byoP1136?fjlkfexlQ>0^]bja6789;=7nbdcnwmpdY68VUjbi>?01322>ekcje~byoP11]\ekb789:9o6mckbmvjqgX98;>7nbdcnwmpdY69VUjbi>?0135?fjlkfexlQ>1^]bja6789;::6mckbmvjqgX98UTmcj?0121g>ekcje~byoP1336?fjlkfexlQ>2^]bja6789;=7nbdcnwmpdY6:VUjbi>?01322>ekcje~byoP13]\ekb789:9=95lljalqkrfW8UTmcj?01221>ekcje~byoP1^]bja6789;:96mckbmvjqgX9VUjbi>?010a?fjlkfexlQ=159`hneh}g~jS?QPaof34566=2iggnaznuc\6ZYffm:;<=?>5:aoofirf}kT>RQnne23454e3jf`ob{at`]051=ddbidyczn_2]\ekb789::96mckbmvjqgX;VUjbi>?01321>ekcje~byoP3^]bja67898i7nbdcnwmpdY39=1h`fm`uovb[1YXign;<=>>5:aoofirf}kT8RQnne234576=2iggnaznuc\0ZYffm:;<==95lljalqkrfWekcje~byoP5^]bja6789;:96mckbmvjqgX=VUjbi>?010a?fjlkfexlQ9159`hneh}g~jS;QPaof34566=2iggnaznuc\2ZYffm:;<=?>5:aoofirf}kT:RQnne23454e3jf`ob{at`]451=ddbidyczn_6]\ekb789::96mckbmvjqgX?VUjbi>?01321>ekcje~byoP7^]bja67898i7nbdcnwmpdY?9=1h`fm`uovb[=YXign;<=>>5:aoofirf}kT4RQnne234576=2iggnaznuc\ekcje~byoP9^]bja6789;:96mckbmvjqgX1VUjbi>?01013>ekcje~byoPM`fg[Zgcl9:;?0^O{kwYUmzgx1?=>348gimdg|dmRCnde]\eab789:TAua}_Sgpqir;978=7nbdcnwmpdYJimnTSljk0123[H~hzVXnxb{<3<12>ekcje~byoPM`fg[Zgcl9:;;5lljalqkrfWDkohRQnde2345YJpfxT^h}zlu>7:70PMymq[Wct}e~793<9;bnhgjsi|hUFmijP_`fg4567WDrd~R\jstnw8385>2iggnaznuc\IdbcWVkoh=>?0^O{kwYUmzgx191279`hneh}g~jS@okd^]b`a6789UFtb|PRdqvhq:?6;<0oaelotlweZKflmUTmij?012\I}iuW[oxyaz39?03?fjlkfexlQBaef\[dbc89:;SRoad123477P_`lg45679;:0oaelotlweZKflmUTmij?012\[dhc89::><5lljalqkrfWDkohRQnde2345YXign;<=?>219`hneh}g~jS@okd^]b`a6789UTmcj?01015>ekcje~byoPM`fg[Zgcl9:;?0^]bja678:8:7nbdcnwmpdYJimnTSljk0123[Zgil9:;?<?4338gimdg|dmRCnde]\eab789:TSl`k0127576P_`lg4562:81h`fm`uovb[HgclVUjhi>?01]\ekb789?:>=5lljalqkrfWDkohRQnde2345YXign;<=8=1:aoofirf}kTAljk_^cg`5678VUjbi>?07314>ekcje~byoPM`fg[Zgcl9:;?_^cm`567?88;7nbdcnwmpdYJimnTSljk0123[Zgil9:;4??4cmi`kphsiVGjhiQPaef3456XWhdo<=>71328gimdg|dmRCnde]\eab789:TSl`k012:64=ddbidyczn_Lcg`ZYflm:;<=QPaof345?6:91h`fm`uovb[HgclVUjhi>?01]\ekb788:9=6mckbmvjqgXEhnoSRokd1234ZYffm:;==?>6:aoofirf}kTSljk012384699?1h`fm`uovb[Zgcl9:;<1?>>048gimdg|dmRQnde2345:6:7;>7nbdcnwmpdYXimn;<=>31?36?fjlkfexlQPaef3456;:7;>7nbdcnwmpdYXimn;<=>33?36?fjlkfexlQPaef3456;<7;>7nbdcnwmpdYXimn;<=>35?36?fjlkfexlQPaef3456;>7;>7nbdcnwmpdYXimn;<=>37?36?fjlkfexlQPaef3456;07;>7nbdcnwmpdYXimn;<=>39?32?fjlkfexlQnne2345753jf`ob{at`]bja6789;:>6mckbmvjqgXign;<=>=6:alqkrf02dlho{fle`8v`ub|jf`0=0n;sgpaqekcV::>6|jsdv`hnY7WVey<=>>129qavcskeaT?1018v`ub|jf`S=Qnne23454b3z~d~hm`uovb858a3z~d~hm`uovb8469n2ycklotlwe9766o1xxb|jcnwmpd:6:7l0ya}ebmvjqg;9:4m7~z`rdalqkrf48>5j6}{osg`kphsi5;>2k5|tnpfgjsi|h6::3h4sumqafirf}k7=:0i;rvlv`eh}g~j0<61e:qwkwcdg|dm1?1e:qwkwcdg|dm1<1e:qwkwcdg|dm1=1e:qwkwcdg|dm1:1e:qwkwcdg|dm1;1e:qwkwcdg|dm181e:qwkwcdg|dm191e:qwkwcdg|dm161e:qwkwcdg|dm171d:qwkwcdg|dmR>>5:qwkwcdg|dmR>Pmtz34566>2ycklotlweZ6Xe|r;<=>>169ppjtbkfexlQ?_lw{456799;<7~z`rdalqkrfW9Ufyu>?013252=t|fxnob{at`]3[hs89:;=??9;rvlv`eh}g~jS=Qbuy234546>2ycklotlweZ6Xe|r;<=><179ppjtbkfexlQ?_lw{4567<8<0ya}ebmvjqgX8Vg~t=>?0435?vrhzlidyczn_1]nq}6789<::6}{osg`kphsiV:Taxv?012453=t|fxnob{at`]3[hs89:;4<84sumqafirf}kT2ycklotlweZ6Xff~;<=?=179ppjtbkfexlQ?_omw4566;8<0ya}ebmvjqgX8Vddx=>?1535?vrhzlidyczn_1]mkq6788?::6}{osg`kphsiV:Tbbz?013553=t|fxnob{at`]3[kis89::;<84sumqafirf}kTPaof345369>1xxb|jcnwmpdY68Vkeh=>?5334?vrhzlidyczn_02\ekb789?8=;5|tnpfgjsi|hU:9:qwkwcdg|dmR??_bos[`w789::m6}{osg`kphsiV;;Snc_ds345669?1xxb|jcnwmpdY68Vg~t=>?0058wqiumje~byoP11]nq}6789;:46}{osg`kphsiV;;S`{w0123557?3z~d~hm`uovb[46Xe|r;<=>>10:8wqiumje~byoP11]nq}6789;9=55|tnpfgjsi|hU:?1534?vrhzlidyczn_02\ip~789:9=:5|tnpfgjsi|hU:;169ppjtbkfexlQ>0^ov|5678<;<7~z`rdalqkrfW8:Taxv?012552=t|fxnob{at`]24Zkrp9:;<:?8;rvlv`eh}g~jS<>Pmtz3456?9>1xxb|jcnwmpdY68Vg~t=>?0835?vrhzlidyczn_02\jjr789;:;6}{osg`kphsiV;;Sca{0122541<{}eyinaznuc\55Yig}:;<<<>7:qwkwcdg|dmR??_omw4566;8=0ya}ebmvjqgX99Uecy>?00623>usg{ohcx`{a^33[kis89::9<94sumqafirf}kT==Qaou234406?2ycklotlweZ77Wge<=>>7058wqiumje~byoP11]mkq67882:;6}{osg`kphsiV;;Sca{0122=`=t|fxnob{at`]2540<{}eyinaznuc\54Yj}q:;<=?8;rvlv`eh}g~jS?0022<>usg{ohcx`{a^32[hs89:;=?01305==t|fxnob{at`]25Zkrp9:;<<:>7:qwkwcdg|dmR?>_lw{4567:8=0ya}ebmvjqgX98Ufyu>?01123>usg{ohcx`{a^32[hs89:;8<94sumqafirf}kT=?6058wqiumje~byoP10]nq}6789=:;6}{osg`kphsiV;:S`{w0123<41<{}eyinaznuc\54Yj}q:;<=7j;rvlv`eh}g~jS<<>6:qwkwcdg|dmR?=_lw{45679>1xxb|jcnwmpdY6:Vg~t=>?003;?vrhzlidyczn_00\ip~789::<<64sumqafirf}kT=?Qbuy234576911xxb|jcnwmpdY6:Vg~t=>?0002<>usg{ohcx`{a^31[hs89:;=>?7;rvlv`eh}g~jS<?01023>usg{ohcx`{a^31[hs89:;?<94sumqafirf}kT=?Qbuy234526?2ycklotlweZ75Wds<=>?5058wqiumje~byoP13]nq}6789<:;6}{osg`kphsiV;9S`{w0123341<{}eyinaznuc\57Yj}q:;<=6>7:qwkwcdg|dmR?=_lw{45671l1xxb|jcnwmpdY6;8<0ya}ebmvjqgX9:Ufyu>?0134?vrhzlidyczn_01\ip~789::=55|tnpfgjsi|hU:?Rczx123446602ycklotlweZ74Wds<=>?103;?vrhzlidyczn_01\ip~789::><64sumqafirf}kT=>Qbuy234574911xxb|jcnwmpdY6;Vg~t=>?00623>usg{ohcx`{a^30[hs89:;><94sumqafirf}kT=>Qbuy234556?2ycklotlweZ74Wds<=>?4058wqiumje~byoP12]nq}6789?:;6}{osg`kphsiV;8S`{w0123241<{}eyinaznuc\56Yj}q:;<=9>7:qwkwcdg|dmR?<_lw{456708=0ya}ebmvjqgX9:Ufyu>?01;f?vrhzlidyczn_0622>usg{ohcx`{a^37[hs89:;=:5|tnpfgjsi|hU:8Rczx123447?3z~d~hm`uovb[42Xe|r;<=>>00:8wqiumje~byoP15]nq}6789;:=55|tnpfgjsi|hU:8Rczx123444602ycklotlweZ73Wds<=>?123;?vrhzlidyczn_06\ip~789::8<94sumqafirf}kT=9Qbuy234546?2ycklotlweZ73Wds<=>?3058wqiumje~byoP15]nq}6789>:;6}{osg`kphsiV;?S`{w0123141<{}eyinaznuc\51Yj}q:;<=8>7:qwkwcdg|dmR?;_lw{4567?8=0ya}ebmvjqgX9=Ufyu>?01:23>usg{ohcx`{a^37[hs89:;5h5|tnpfgjsi|hU:9<84sumqafirf}kT=8Qbuy2345703z~d~hm`uovb[43Xe|r;<=>>199ppjtbkfexlQ>5^ov|56788::46}{osg`kphsiV;>S`{w0123547?3z~d~hm`uovb[43Xe|r;<=>>20:8wqiumje~byoP14]nq}6789;8=55|tnpfgjsi|hU:9Rczx1234426?2ycklotlweZ72Wds<=>?2058wqiumje~byoP14]nq}67899:;6}{osg`kphsiV;>S`{w0123041<{}eyinaznuc\50Yj}q:;<=;>7:qwkwcdg|dmR?:_lw{4567>8=0ya}ebmvjqgX9?01523>usg{ohcx`{a^36[hs89:;4<94sumqafirf}kT=8Qbuy2345?b3z~d~hm`uovb[406>2ycklotlweZ71Wds<=>?169ppjtbkfexlQ>6^ov|56788;37~z`rdalqkrfW8<{}eyinaznuc\53Yj}q:;<=?>199ppjtbkfexlQ>6^ov|567888:;6}{osg`kphsiV;=S`{w0123641<{}eyinaznuc\53Yj}q:;<==>7:qwkwcdg|dmR?9_lw{4567<8=0ya}ebmvjqgX9?Ufyu>?01723>usg{ohcx`{a^35[hs89:;:<94sumqafirf}kT=;Qbuy234516?2ycklotlweZ71Wds<=>?8058wqiumje~byoP17]nq}67893n7~z`rdalqkrfW8=::6}{osg`kphsiV;Ufyu>?01325==t|fxnob{at`]23Zkrp9:;<<<>7:qwkwcdg|dmR?8_lw{4567:8=0ya}ebmvjqgX9>Ufyu>?01123>usg{ohcx`{a^34[hs89:;8<94sumqafirf}kT=:Qbuy234536?2ycklotlweZ70Wds<=>?6058wqiumje~byoP16]nq}6789=:;6}{osg`kphsiV;6:qwkwcdg|dmR?7_lw{45679>1xxb|jcnwmpdY60Vg~t=>?0034?vrhzlidyczn_0:\ip~789:9=85|tnpfgjsi|hU:S`{w012353=t|fxnob{at`]2[hs89:;=<94sumqafirf}kT=Rczx1234466?2ycklotlweZ7Xe|r;<=>>1058wqiumje~byoP1^ov|567888::6}{osg`kphsiV;Taxv?012153=t|fxnob{at`]2[hs89:;?<84sumqafirf}kT=Rczx12341713z~d~hm`uovb[4Yj}q:;<=;>6:qwkwcdg|dmR?Pmtz345619?1xxb|jcnwmpdY6Wds<=>?7048wqiumje~byoP1^ov|56781;=7~z`rdalqkrfW8Ufyu>?01;g?vrhzlidyczn_336?vrhzlidyczn_3]nq}6789;=7~z`rdalqkrfW;Ufyu>?01323>usg{ohcx`{a^0\ip~789::<<94sumqafirf}kT>Rczx1234476?2ycklotlweZ4Xe|r;<=>>2048wqiumje~byoP2^ov|5678;;=7~z`rdalqkrfW;Ufyu>?01122>usg{ohcx`{a^0\ip~789:?=;5|tnpfgjsi|hU9S`{w0123140<{}eyinaznuc\6Zkrp9:;<;?9;rvlv`eh}g~jS?Qbuy234516>2ycklotlweZ4Xe|r;<=>7179ppjtbkfexlQ=_lw{45671m1xxb|jcnwmpdY49<1xxb|jcnwmpdY4Wds<=>?179ppjtbkfexlQ<_lw{456798=0ya}ebmvjqgX;Vg~t=>?00223>usg{ohcx`{a^1\ip~789::=<94sumqafirf}kT?Rczx1234446?2ycklotlweZ5Xe|r;<=>>3058wqiumje~byoP3^ov|56788>::6}{osg`kphsiV9Taxv?012153=t|fxnob{at`]0[hs89:;?<84sumqafirf}kT?Rczx12341713z~d~hm`uovb[6Yj}q:;<=;>6:qwkwcdg|dmR=Pmtz345619?1xxb|jcnwmpdY4Wds<=>?7048wqiumje~byoP3^ov|56781;=7~z`rdalqkrfW:Ufyu>?01;g?vrhzlidyczn_536?vrhzlidyczn_5]nq}6789;=7~z`rdalqkrfW=Ufyu>?01323>usg{ohcx`{a^6\ip~789::<<94sumqafirf}kT8Rczx1234476?2ycklotlweZ2Xe|r;<=>>2058wqiumje~byoP4^ov|567889:;6}{osg`kphsiV>Taxv?0122040<{}eyinaznuc\0Zkrp9:;2ycklotlweZ2Xe|r;<=>;179ppjtbkfexlQ;_lw{4567=8<0ya}ebmvjqgX?0735?vrhzlidyczn_5]nq}6789=::6}{osg`kphsiV>Taxv?012;53=t|fxnob{at`]7[hs89:;5i5|tnpfgjsi|hU>=85|tnpfgjsi|hU>S`{w012353=t|fxnob{at`]6[hs89:;=<94sumqafirf}kT9Rczx1234466?2ycklotlweZ3Xe|r;<=>>1058wqiumje~byoP5^ov|567888:;6}{osg`kphsiV?Taxv?0122741<{}eyinaznuc\1Zkrp9:;<<:>6:qwkwcdg|dmR;Pmtz345659?1xxb|jcnwmpdY2Wds<=>?3048wqiumje~byoP5^ov|5678=;=7~z`rdalqkrfW?01722>usg{ohcx`{a^7\ip~789:==;5|tnpfgjsi|hU>S`{w0123340<{}eyinaznuc\1Zkrp9:;<5?9;rvlv`eh}g~jS8Qbuy2345?c3z~d~hm`uovb[3723z~d~hm`uovb[3Yj}q:;<=?9;rvlv`eh}g~jS;Qbuy234576?2ycklotlweZ0Xe|r;<=>>0058wqiumje~byoP6^ov|56788;:;6}{osg`kphsiV7:qwkwcdg|dmR8Pmtz34566<8<0ya}ebmvjqgX>Vg~t=>?0335?vrhzlidyczn_7]nq}67899::6}{osg`kphsiV6:qwkwcdg|dmR8Pmtz3456?9?1xxb|jcnwmpdY1Wds<=>?9e9ppjtbkfexlQ8149ppjtbkfexlQ8_lw{45679?1xxb|jcnwmpdY0Wds<=>?1058wqiumje~byoP7^ov|56788::;6}{osg`kphsiV=Taxv?0122541<{}eyinaznuc\3Zkrp9:;<<<>7:qwkwcdg|dmR9Pmtz34566;8=0ya}ebmvjqgX?Vg~t=>?00622>usg{ohcx`{a^5\ip~789:9=;5|tnpfgjsi|hU2ycklotlweZ1Xe|r;<=>9179ppjtbkfexlQ8_lw{4567?8<0ya}ebmvjqgX?Vg~t=>?0935?vrhzlidyczn_6]nq}67893o7~z`rdalqkrfW1;>7~z`rdalqkrfW1Ufyu>?0135?vrhzlidyczn_9]nq}6789;:;6}{osg`kphsiV2Taxv?0122441<{}eyinaznuc\7:qwkwcdg|dmR6Pmtz34566:8=0ya}ebmvjqgX0Vg~t=>?00123>usg{ohcx`{a^:\ip~789::8<84sumqafirf}kT4Rczx12347713z~d~hm`uovb[=Yj}q:;<==>6:qwkwcdg|dmR6Pmtz345639?1xxb|jcnwmpdY?Wds<=>?5048wqiumje~byoP8^ov|5678?;=7~z`rdalqkrfW1Ufyu>?01522>usg{ohcx`{a^:\ip~789:3=;5|tnpfgjsi|hU3S`{w0123=a=t|fxnob{at`]:50=t|fxnob{at`]:[hs89:;=;5|tnpfgjsi|hU2S`{w0123541<{}eyinaznuc\=Zkrp9:;<<>>7:qwkwcdg|dmR7Pmtz3456698=0ya}ebmvjqgX1Vg~t=>?00023>usg{ohcx`{a^;\ip~789::?<94sumqafirf}kT5Rczx1234426>2ycklotlweZ?Xe|r;<=>=179ppjtbkfexlQ6_lw{4567;8<0ya}ebmvjqgX1Vg~t=>?0535?vrhzlidyczn_8]nq}6789?::6}{osg`kphsiV3Taxv?012553=t|fxnob{at`]:[hs89:;;<84sumqafirf}kT5Rczx1234=713z~d~hm`uovb[0n;tcqpfru4=427xo}tbvq[5753|kyxnz}_1]\ekb789::?6{nruawvZ6XWhdo<=>?1018qdtsk}xT?0008qdtsk}xT6{nruawvZ6XWfx;<=><139vewrd|{U;SRa}0123044<}hxoy|P0^]lv5678<;97xo}tbvq[5YXg{:;<=8>2:wbvqeszV:TSb|?012457=ri{~hxQ?_^mq45670880yl|{cup\4ZYhz9:;<474u`pwgqtX9880yl|{cup\5ZYffm:;<=?<;tcqpfruW8UTmcj?012256=ri{~hxQ>_^cm`5678;30yl|{cup\644<}hxoy|P2^]bja6789;87xo}tbvq[7YXign;<=>>129vewrd|{U9SRoad12347?<}hxoy|P3008qdtsk}xT?RQnne2345743|kyxnz}_2]\ekb789::=>5zasv`pwY4WVkeh=>?03;8qdtsk}xT8<<4u`pwgqtX?0130?pgu|j~yS9QPaof345669:1~mzlts]7[Zgil9:;2:72<}hxoy|PM`fg[Zgcl9:;95zasv`pwYJimnTSljk0123[H~hzVXnxb{<2<10>sfz}i~RCnde]\eab789:TAua}_Sgpqir;<7;n7xo}tbvq[HgclVUjhi>?01]\ekb789::j6{nruawvZKflmUTmij?012\[dhc89:;=1d9vewrd|{UFmijP_`fg4567WVkeh=>?20d8qdtsk}xTAljk_^cg`5678VUjbi>?03327>sfz}i~RQnde2345:66890yl|{cup\[dbc89:;0?0>3:wbvqeszVUjhi>?01>0:45<}hxoy|P_`fg45674=4m7xo}tbvq[dhc89:;==5zasv`pwYffm:;<=?>0:wbvqeszVkeh=>?0333?pgu|j~ySl`k0123746<}hxoy|Paof34563991~mzlts]bja6789?:<6{nruawvZgil9:;<;o4u`pwjjq;8730yl|{nnu\447<}hxbbyP0^llp5679880yl|{nnu\4Zhh|9:;=?00026>sfz}dd{R>Pnnv345749;1~mzaov]3[kis89::8o5zasvpolv;87k0yl|{sjks[5753|kyx~efp^2\jjr789;:?6{nruqhmuY7Wge<=>>1068qdts{bc{S=Qaou2344779=1~mz|khr\4Zhh|9:;=3:wbvqulayU;Sca{0122645<}hxfg_1]mkq67889:?6{nruqhmuY7Wge<=>>4018qdts{bc{S=Qaou234436;2j~y}diq]3[kis89:::<=4u`pwwnowW9Uecy>?00527>sfz}y`e}Q?_omw45660890yl|{sjks[5Yig}:;<<7n;vcjpkip49427zoftomt[5763~kbxcax_1]mkq6788;97zoftomt[5Yig}:;<0:ubmqhhV:Tc>?0132?rgn|ge|S=Q`r12344763~kbxcax_1]lv5678;;:7zoftomt[5Yhz9:;<>?>;vcjpkipW9Ud~=>?0532?rgn|ge|S=Q`r12340773~kbxcax_1]lv567:8;0{lg{nnu\4Ziu89:9==2038sdosff}T=6038sdosff}TPxe`,gvr)pkioqMNe2:8DE~6800M694>{R36>=0==00:?9=k658bf``|f191=6`74;48 2`=??1v_<:58786=?74<:n=87omf79P0=<68h086<=;3e47>de782Y:87??a;195624l?>1mn>:;e33=?6=93;p_<;58786=?74<:n=87omeg9'a?4ek2B:;6x[1`83>4<628l2w^?::9491<<6;=9o:94nbdd8 23=4=r"6l3:0(k50;&57=750;&53=10n:m50;394?6|,>;1>95G7c9K36=#0803;6*;7;0aa>i5;3:1(5?55698yg74l3:197<56z&45?7a3A=i7E9<;%13>3=#<>09nh5f1083>>o6;3:17d??:188m40=831d:l4?::`5e?6=>3:15<#080:>65f6e83>!>62?n07d8m:18'<4<1j21d9:4?:%:2>01<3th51;294~"?932<7b;8:18'<4<2?21v;o50;0xZ3g<5?k19:5rs0494?4|V8<01;o52d9~w45=838pR<=4=7c92g=z{8;1<750;0xZ46<5?k1=?5rs3694?4|5?k1:i527d863>{zj88>6=4::385!1628l0D:l4H618 66=>2.?;777o693:1(5?51098m44=83.3=7?=;:k5`?6=,1;1:i54i7`94?"?9310qo9j:182>5<7s-2:6594o4594?"?93?<76s|6`83>7}Y>h16:l4:7:p53<72;qU=;526`81a>{t9:0;6?uQ129>2d<1j2wx=<4?:3y]54=:>h0:=6s|1183>7}Y9916:l4>2:p61<72;q6:l49d:?4a?303twi>l750;796?0|,>;1=k5G7c9K36=#;90=7):8:3`f?l762900e<=50;9j55<722c::7>5;n4b>5<h4?:%:2>7c<3`;:6=4+80825>=n9;0;6)6>:008?l0c290/4<49d:9j2g<72-2:6;l4;n74>5<#080>;65rb6g94?7=83:p(5?5869l12<72-2:6894;|q5e?6=:rT=m639a;74?xu6>3:1>vP>6:?5e?4b3ty:?7>52z\27>;1i31;296~X6927=m7?>;|q24?6=:rT:<639a;31?xu5<3:1>v39a;4g?81b2<=0qpl>5g83>0<52?q/;<4>f:J4f>N0;2.8<784$5596gc>o683:17d?9:188k3g=831i:l4?:783>5}#0809=6g=e;29 =7=:l10e7>5$93957=o1j3:1(5?56c98k01=83.3=7;8;:a3`<7280;6=u+808;3>i2?3:1(5?55698yv0f2909wS8n;<4b>015<5sW;:708n:038yv772909wS??;<4b>443b<5>o19:5r}c30g?6==381:v*81;3e?M1e3A=87)=?:79'02<5jl1b=<4?::k27?6=3`;;6=44i0494?=h>h0;66l9a;292?6=8r.3=7<>;h0f>5<#0809i65f1083>!>628;07d?=:18'<4<6:21b:i4?:%:2>3b<3`=h=>0;6)6>:458?xd0m3:1=7>50z&;5?>03f?<6=4+80863>=z{?k1<71v<850;0xZ40<5?k1>h5rs0194?4|V8901;o56c9~w47=838pRm16;h4:7:~f40a290>6?49{%52>4`<@>h0D:=4$2292>"3?38ii6g>1;29?l742900e<>50;9j53<722e=m7>5;c4b>5<1290;w)6>:338m7c=83.3=7m10e;l50;&;5?0e32e>;7>5$93912=:183!>621=0c8950;&;5?3032wx:l4?:3y]2d=:>h0>;6s|1783>7}Y9?16:l4=e:p56<72;qU=>526`85f>{t980;6?uQ109>2d<692wx==4?:3y]55=:>h0:>6s|2583>7}:>h0=h638e;74?x{e9mi1<7;52;4x 27=9o1C;o5G729'75<13-><6?lj;h32>5<>o6>3:17b8n:188f3g=83<1<7>t$93964=n:l0;6)6>:3g8?l76290/4<4>1:9j57<72-2:6<<4;h4g>5<#080=h65f6c83>!>62?h07b;8:18'<4<2?21vn:k50;394?6|,1;14:5`5683>!>62<=07p}9a;296~X1i27=m7;8;|q22?6=:rT::639a;0f?xu6;3:1>vP>3:?5e?0e3ty:=7>52z\25>;1i3;:7p}>0;296~X6827=m7?=;|q10?6=:r7=m78k;<5f>013}#?80:j6F8b:J47>"483<0(9952cg8m47=831b=>4?::k24?6=3`;=6=44o7c94?=e>h0;6;4?:1y'<4<592c9i7>5$9396`=o6:3:1(5?51398m3b=83.3=78k;:k5f?6=,1;1:o54o4594?"?93?<76sm7d83>4<729q/4<477:m63?6=,1;19:54}r4b>5<5sW7c:181[76345<5sW;;708n:008yv432909w08n:7f892c==>1vqo?ja;291?4=>r.<=7?i;I5a?M143-9;6;5+4681f`=n980;66g>3;29?l772900e<850;9l2d<722h=m7>56;294~"?938:7d47<3`;96=4+80826>=n>m0;6)6>:7f8?l0e290/4<49b:9l12<72-2:6894;|`4a?6=93:14=7c957=z{;>1<7;6srb0:e>5<22;0=w)9>:0d8L2d<@>90(>>56:&73?4em2c:=7>5;h30>5<>i1i3:17o8n:185>5<7s-2:6??4i3g94?"?938n76g>1;29 =7=9810e<<50;&;5?7532c=h7>5$9392a=i2?3:1(5?55698yg1b290:6=4?{%:2>=1{t>h0;6?uQ6`9>2d<2?2wx=;4?:3y]53=:>h09i6s|1283>7}Y9:16:l49b:p54<72;qU=<526`825>{t990;6?uQ119>2d<6:2wx>94?:3y>2d<1l2778t$6395c=O?k1C;>5+3185?!202;hn7d?>:188m45=831b==4?::k22?6=3f5<#080:=65f1383>!>628807d8k:18'<4<1l21b:o4?:%:2>3d<3f?<6=4+80863>=zj>o1<7?50;2x =7=0>1d9:4?:%:2>01<3ty=m7>52z\5e>;1i3?<7p}>6;296~X6>27=m7vP>1:?5e?763ty:<7>52z\24>;1i3;97p}=4;296~;1i3ok4i0394?=n9:0;66g>0;29?l712900c;o50;9a2d<72?0;6=u+80815>o5m3:1(5?52d98m47=83.3=7?>;:k26?6=,1;1=?54i7f94?"?93k10c8950;&;5?3032wi;h4?:083>5}#0803;6a:7;29 =7==>10q~8n:181[0f345<5sW;=708n:3g8yv742909wS?<;<4b>3d5<5s455;092~"093;m7E9m;I50?!572?1/8:4=bd9j54<722c:?7>5;h33>5<>d1i3:1:7>50z&;5?463`8n6=4+8081a>=n980;6)6>:038?l75290/4<4>2:9j2a<72-2:6;j4;h4a>5<#080=n65`5683>!>62<=07pl8e;295?6=8r.3=768;n74>5<#080>;65rs7c94?4|V?k01;o5569~w40=838pR<84=7c96`=z{891<7k1v3`<2?2wvn7<1s-=:6o693:17d?<:188m46=831b=;4?::m5e?6=3k62;;0e?k50;&;5?4b32c:=7>5$93954=o1l3:1(5?56e98m3d=83.3=78m;:m63?6=,1;19:54}c5f>5<6290;w)6>:958k01=83.3=7;8;:p2d<72;qU:l526`863>{t9?0;6?uQ179>2d<5m2wx=>4?:3y]56=:>h0=n6s|1083>7}Y9816:l4>1:p55<72;qU==526`826>{t:=0;6?u26`85`>;0m3?<7psm20c94?3=:37db3`;:6=44i0194?=n990;66g>6;29?j0f2900n;o50;494?6|,1;1><5f2d83>!>62;o07d?>:18'<4<6921b=?4?:%:2>44<3`=n>k0;6)6>:7`8?j30290/4<4:7:9~f2c=83;1<7>t$939<2=h=>0;6)6>:458?xu1i3:1>vP9a:?5e?303ty::7>52z\22>;1i38n7p}>3;296~X6;27=m78m;|q25?6=:rT:=639a;32?xu683:1>vP>0:?5e?753ty987>52z?5e?0c34=n6894}|`2fa<72<096;u+7082b>N0j2B5;h35>5<3<729q/4<4=1:k1a?6=,1;1>h54i0394?"?93;:76g>2;29 =7=9;10e;j50;&;5?0c32c=n7>5$9392g={e?l0;6<4?:1y'<4;7>5$93912=5<5sW;8708n:7`8yv762909wS?>;<4b>473=#<>09nh5f1083>>o6;3:17d??:188m40=831d:l4?::`5e?6=>3:15<#080:>65f6e83>!>62?n07d8m:18'<4<1j21d9:4?:%:2>01<3th51;294~"?932<7b;8:18'<4<2?21v;o50;0xZ3g<5?k19:5rs0494?4|V8<01;o52d9~w45=838pR<=4=7c92g=z{8;1<750;0xZ46<5?k1=?5rs3694?4|5?k1:i527d863>{zj8ii6=4::385!1628l0D:l4H618 66=>2.?;777o693:1(5?51098m44=83.3=7?=;:k5`?6=,1;1:i54i7`94?"?9310qo9j:182>5<7s-2:6594o4594?"?93?<76s|6`83>7}Y>h16:l4:7:p53<72;qU=;526`81a>{t9:0;6?uQ129>2d<1j2wx=<4?:3y]54=:>h0:=6s|1183>7}Y9916:l4>2:p61<72;q6:l49d:?4a?303twi>>o50;796?0|,>;1=k5G7c9K36=#;90=7):8:3`f?l762900e<=50;9j55<722c::7>5;n4b>5<h4?:%:2>7c<3`;:6=4+80825>=n9;0;6)6>:008?l0c290/4<49d:9j2g<72-2:6;l4;n74>5<#080>;65rb6g94?7=83:p(5?5869l12<72-2:6894;|q5e?6=:rT=m639a;74?xu6>3:1>vP>6:?5e?4b3ty:?7>52z\27>;1i31;296~X6927=m7?>;|q24?6=:rT:<639a;31?xu5<3:1>v39a;4g?81b2<=0qpl=4`83>0<52?q/;<4>f:J4f>N0;2.8<784$5596gc>o683:17d?9:188k3g=831i:l4?:783>5}#0809=6g=e;29 =7=:l10e7>5$93957=o1j3:1(5?56c98k01=83.3=7;8;:a3`<7280;6=u+808;3>i2?3:1(5?55698yv0f2909wS8n;<4b>015<5sW;:708n:038yv772909wS??;<4b>443b<5>o19:5r}c0:0?6==381:v*81;3e?M1e3A=87)=?:79'02<5jl1b=<4?::k27?6=3`;;6=44i0494?=h>h0;66l9a;292?6=8r.3=7<>;h0f>5<#0809i65f1083>!>628;07d?=:18'<4<6:21b:i4?:%:2>3b<3`=h=>0;6)6>:458?xd0m3:1=7>50z&;5?>03f?<6=4+80863>=z{?k1<71v<850;0xZ40<5?k1>h5rs0194?4|V8901;o56c9~w47=838pRm16;h4:7:~f7?e290>6?49{%52>4`<@>h0D:=4$2292>"3?38ii6g>1;29?l742900e<>50;9j53<722e=m7>5;c4b>5<1290;w)6>:338m7c=83.3=7m10e;l50;&;5?0e32e>;7>5$93912=:183!>621=0c8950;&;5?3032wx:l4?:3y]2d=:>h0>;6s|1783>7}Y9?16:l4=e:p56<72;qU=>526`85f>{t980;6?uQ109>2d<692wx==4?:3y]55=:>h0:>6s|2583>7}:>h0=h638e;74?x{e000;684=:7y'34<6n2Bok4i0394?=n9:0;66g>0;29?l712900c;o50;9a2d<72?0;6=u+80815>o5m3:1(5?52d98m47=83.3=7?>;:k26?6=,1;1=?54i7f94?"?93k10c8950;&;5?3032wi;h4?:083>5}#0803;6a:7;29 =7==>10q~8n:181[0f345<5sW;=708n:3g8yv742909wS?<;<4b>3d5<5s455;092~"093;m7E9m;I50?!572?1/8:4=bd9j54<722c:?7>5;h33>5<>d1i3:1:7>50z&;5?463`8n6=4+8081a>=n980;6)6>:038?l75290/4<4>2:9j2a<72-2:6;j4;h4a>5<#080=n65`5683>!>62<=07pl8e;295?6=8r.3=768;n74>5<#080>;65rs7c94?4|V?k01;o5569~w40=838pR<84=7c96`=z{891<7k1v3`<2?2wvno?50;196?2|,>;1=h5G7c9K36=#;90=7):8:3`f?l772900e<850;9l2d<722h=m7>55;092~"0938;7):8:3`f?j0f2900e;750;9j53<722c:?7>5;h33>5<h4?:%:2>7c<3`;:6=4+80825>=n9;0;6)6>:008?l0c290/4<49d:9j2g<72-2:6;l4;n74>5<#080>;65rb6f94?7=83:p(5?5539l1=<72-2:6894;|q22?6=:rT::63=0;0f?xu683:1>vP>0:?14?753ty:?7>52z\27>;583v3=0;32?81c2<20qpl>:182>5<7s-=9695+70810>"?932<7):8:3`f?j44290/4<4:7:9~w3g=838pR;o4=7c92d=z{8<1<750;0xZ46<5?k1==5rs383>6}:>h0=5639a;30?87=::1/;?4:;|aea<72:0969u+7082a>N0j2B50;9j53<722e=m7>5;c4b>5<22;0=w)9>:328 11=:ko0c;o50;9j2<<722c::7>5;h30>5<3<729q/4<4=1:k1a?6=,1;1>h54i0394?"?93;:76g>2;29 =7=9;10e;j50;&;5?0c32c=n7>5$9392g={e?m0;6<4?:1y'<4<2:2e>47>5$93912=5<5sW;8702909wS86;<03>3bt$6090>"0938?7)6>:958 11=:ko0c?=50;&;5?3032wx:l4?:3y]2d=:>h0=m6s|1783>7}Y9?16:l4>6:p55<72;qU==526`824>{t:3:1?v39a;4:?80f28901<4=3:&46?353;090~"093;n7E9m;I50?!572?1/8:4=bd9j55<722c::7>5;n4b>5<5;h35>5<>d583:1:7>50z&;5?463`8n6=4+8081a>=n980;6)6>:038?l75290/4<4>2:9j2a<72-2:6;j4;h4a>5<#080=n65`5683>!>62<=07pl8d;295?6=8r.3=7;=;n7;>5<#080>;65rs0494?4|V8<01?>52d9~w46=838pR<>4=32957=z{891<7k1v;750;0xZ3?<5;:1:i5rs7c94?4|V?k01?>5569~w22=838p1?>5109>3a<202wvn<4?:083>5}#?;0?7)9>:368 =7=0>1/8:4=bd9l66<72-2:6894;|q5e?6=:rT=m639a;4b?xu6>3:1>vP>6:?5e?713ty:<7>52z\24>;1i3;;7p}=:18080f2?301;o5129>5?443-=9685r}cf7>5<42;0?w)9>:0g8L2d<@>90(>>56:&73?4em2c:<7>5;h35>5<0<52?q/;<4=0:&73?4em2e=m7>5;h4:>5<>o683:17o5<7s-2:6??4i3g94?"?938n76g>1;29 =7=9810e<<50;&;5?7532c=h7>5$9392a=i2?3:1(5?55698yg1c290:6=4?{%:2>04{t9?0;6?uQ179>65<5m2wx==4?:3y]55=::90:>6s|1283>7}Y9:16>=49b:p2<<72;qU:4522185`>{t>h0;6?uQ6`9>65<2?2wx;94?:3y>65<69275$93912=5<5sW;;708n:028yv4=839p1;o5689>2d<6;27:6?=4$6091>{zjm:1<7=52;6x 27=9l1C;o5G729'75<13-><6?lj;h33>5<>d1i3:197<56z&45?473-><6?lj;n4b>5<>o6;3:17d??:188f76=83<1<7>t$93964=n:l0;6)6>:3g8?l76290/4<4>1:9j57<72-2:6<<4;h4g>5<#080=h65f6c83>!>62?h07b;8:18'<4<2?21vn:j50;394?6|,1;19?5`5983>!>62<=07p}>6;296~X6>279<7vP>3:?14?0e3ty=57>52z\5=>;583;<5g>0>:183!152=1/;<4=4:&;5?>03-><6?lj;n00>5<#080>;65rs7c94?4|V?k01;o56`9~w40=838pR<84=7c953=z{8:1<72d<1127=m7?<;<3966=#?;0>7psmcb83>6<52=q/;<4>e:J4f>N0;2.8<784$5596gc>i1i3:17o8n:186>7<1s-=:6?>4$5596gc>o6>3:17d?<:188m46=831i>=4?:783>5}#0809=6g=e;29 =7=:l10e7>5$93957=o1j3:1(5?56c98k01=83.3=7;8;:a3a<7280;6=u+80866>i203:1(5?55698yv712909wS?9;<03>7c5<5sW<2700147<5>n1955r}c394?7=83:p(:<54:&45?433-2:6594$5596gc{t>h0;6?uQ6`9>2d<1i2wx=;4?:3y]53=:>h0::6s|1183>7}Y9916:l4>0:p6?6=;r7=m786;<4b>45<5809?6*82;78yxdd03:1?7<54z&45?7b3A=i7E9<;%13>3=#<>09nh5f1183>>o6>3:17b8n:188f3g=83?1>78t$63965=#<>09nh5`6`83>>o113:17d?9:188m45=831b==4?::`14?6=>3:15<#080:>65f6e83>!>62?n07d8m:18'<4<1j21d9:4?:%:2>01<3th51;294~"?93?97b;7:18'<4<2?21v<850;0xZ40<5;:1>h5rs0294?4|V8:01?>5139~w45=838pR<=4=3292g=z{?31<7m1v;o50;0xZ3g<5;:19:5rs6694?4|5;:1=<527e86<>{zj80;6<4?:1y'37<33-=:6?:4$939<2=#<>09nh5`2283>!>62<=07p}9a;296~X1i27=m78n;|q22?6=:rT::639a;35?xu683:1>vP>0:?5e?773ty96=4<{<4b>3?<5?k1=>521;00?!152<1vqom;:180>7<3s-=:6o683:17d?9:188k3g=831i:l4?:481>3}#?809<6*;7;0aa>i1i3:17d86:188m40=831b=>4?::k24?6=3k8;6=49:183!>62;;0e?k50;&;5?4b32c:=7>5$93954=o1l3:1(5?56e98m3d=83.3=78m;:m63?6=,1;19:54}c5g>5<6290;w)6>:408k0>=83.3=7;8;:p53<72;qU=;522181a>{t990;6?uQ119>65<6:2wx=>4?:3y]56=::90=n6s|6883>7}Y>016>=49d:p2d<72;qU:l5221863>{t?=0;6?u221825>;0l3?37psm1;295?6=8r.<>7:4$63961=#0803;6*;7;0aa>i5;3:1(5?55698yv0f2909wS8n;<4b>3g4}r094?5|5?k1:4526`827>;62;90(:<55:~ff6=8391>7:t$6395`=O?k1C;>5+3185?!202;hn7d??:188m40=831d:l4?::`5e?6==381:v*81;03?!202;hn7b8n:188m3?=831b=;4?::k27?6=3`;;6=44b3294?0=83:p(5?5209j6`<72-2:6?k4;h32>5<#080:=65f1383>!>628807d8k:18'<4<1l21b:o4?:%:2>3d<3f?<6=4+80863>=zj>n1<7?50;2x =7==;1d954?:%:2>01<3ty::7>52z\22>;5838n7p}>0;296~X68279<7?=;|q27?6=:rT:?63=0;4a?xu113:1>vP99:?14?0c3ty=m7>52z\5e>;583?<7p}84;296~;583;:709k:4:8yxd6290:6=4?{%51>1=#?80986*71;:4?!202;hn7b<<:18'<4<2?21v;o50;0xZ3g<5?k1:l5rs0494?4|V8<01;o5179~w46=838pR<>4=7c955=z{;0;6>u26`85=>;1i3;870?5229'37<23twinn4?:281>1}#?80:i6F8b:J47>"483<0(9952cg8m46=831b=;4?::m5e?6=3k5$9396`=o6:3:1(5?51398m3b=83.3=78k;:k5f?6=,1;1:o54o4594?"?93?<76sm7e83>4<729q/4<4:2:m65<5sW;=7044348;6;j4}r4b>5<5sW8186*81;07?!>621=0(9952cg8k75=83.3=7;8;:p2d<72;qU:l526`85e>{t9?0;6?uQ179>2d<6>2wx==4?:3y]55=:>h0:<6s|2;297~;1i3<2708n:01894<5;2.<>7;4}|`a;h0f>5<#0809i65f1083>!>628;07d?=:18'<4<6:21b:i4?:%:2>3b<3`=h=>0;6)6>:458?xd0l3:1=7>50z&;5?353f?36=4+80863>=z{8<1<750;0xZ46<5;:1=?5rs0194?4|V8901?>56c9~w3?=838pR;74=3292a=z{?k1<71v::50;0x976=9816;i4:8:~f4<7280;6=u+7387?!162;>0(5?5869'02<5jl1d>>4?:%:2>01<3ty=m7>52z\5e>;1i36;296~X6>27=m7?9;|q24?6=:rT:<639a;33?xu52908w08n:7;893g=9:16=7<<;%51>0=zukk36=4<:387!1628o0D:l4H618 66=>2.?;7h0;684=:7y'34<582.?;70;29?g47290=6=4?{%:2>77o693:1(5?51098m44=83.3=7?=;:k5`?6=,1;1:i54i7`94?"?9310qo9k:182>5<7s-2:68<4o4:94?"?93?<76s|1783>7}Y9?16>=4=e:p55<72;qU==5221826>{t9:0;6?uQ129>65<1j2wx:44?:3y]2<=::90=h6s|6`83>7}Y>h16>=4:7:p31<72;q6>=4>1:?4`?3?3twi=7>51;294~"0:3>0(:?5259'<45<5sW40016:l4>3:?2>75<,>8196srb`194?5=:3>p(:?51d9K3g=O?:1/?=49;%64>7db3`;;6=44i0494?=h>h0;66l9a;291?4=>r.<=77db3f3;29?l772900n?>50;494?6|,1;1><5f2d83>!>62;o07d?>:18'<4<6921b=?4?:%:2>44<3`=n>k0;6)6>:7`8?j30290/4<4:7:9~f2b=83;1<7>t$93917=h=10;6)6>:458?xu6>3:1>vP>6:?14?4b3ty:<7>52z\24>;583;97p}>3;296~X6;279<78m;|q5=?6=:rT=563=0;4g?xu1i3:1>vP9a:?14?303ty<87>52z?14?7634=o6864}|`2>5<6290;w)9=:59'34<5<2.3=768;%64>7db3f886=4+80863>=z{?k1<7h1v<850;0xZ40<5?k1=;5rs0294?4|V8:01;o5119~w7<72:q6:l499:?5e?7434;1>>5+7386?x{e:>k1<7;52;4x 27=9o1C;o5G729'75<13-><6?lj;h32>5<>o6>3:17b8n:188f3g=83<1<7>t$93964=n:l0;6)6>:3g8?l76290/4<4>1:9j57<72-2:6<<4;h4g>5<#080=h65f6c83>!>62?h07b;8:18'<4<2?21vn:k50;394?6|,1;14:5`5683>!>62<=07p}9a;296~X1i27=m7;8;|q22?6=:rT::639a;0f?xu6;3:1>vP>3:?5e?0e3ty:=7>52z\25>;1i3;:7p}>0;296~X6827=m7?=;|q10?6=:r7=m78k;<5f>013}#?80:j6F8b:J47>"483<0(9952cg8m47=831b=>4?::k24?6=3`;=6=44o7c94?=e>h0;6;4?:1y'<4<592c9i7>5$9396`=o6:3:1(5?51398m3b=83.3=78k;:k5f?6=,1;1:o54o4594?"?93?<76sm7d83>4<729q/4<477:m63?6=,1;19:54}r4b>5<5sW7c:181[76345<5sW;;708n:008yv432909w08n:7f892c==>1vqo<82;291?4=>r.<=7?i;I5a?M143-9;6;5+4681f`=n980;66g>3;29?l772900e<850;9l2d<722h=m7>56;294~"?938:7d47<3`;96=4+80826>=n>m0;6)6>:7f8?l0e290/4<49b:9l12<72-2:6894;|`4a?6=93:14=7c957=z{;>1<7;6srb34f>5<22;0=w)9>:0d8L2d<@>90(>>56:&73?4em2c:=7>5;h30>5<>i1i3:17o8n:185>5<7s-2:6??4i3g94?"?938n76g>1;29 =7=9810e<<50;&;5?7532c=h7>5$9392a=i2?3:1(5?55698yg1b290:6=4?{%:2>=1{t>h0;6?uQ6`9>2d<2?2wx=;4?:3y]53=:>h09i6s|1283>7}Y9:16:l49b:p54<72;qU=<526`825>{t990;6?uQ119>2d<6:2wx>94?:3y>2d<1l2778t$6395c=O?k1C;>5+3185?!202;hn7d?>:188m45=831b==4?::k22?6=3f5<#080:=65f1383>!>628807d8k:18'<4<1l21b:o4?:%:2>3d<3f?<6=4+80863>=zj>o1<7?50;2x =7=0>1d9:4?:%:2>01<3ty=m7>52z\5e>;1i3?<7p}>6;296~X6>27=m7vP>1:?5e?763ty:<7>52z\24>;1i3;97p}=4;296~;1i34>:2yK36=#?80?n6g>8;29?l7>2900c;m50;9a<0<72:0;6=u+7084<>N0j2B44?:%:2>7c<3f?;6=4+80863>=z{821<755rs7a94?4|V?i015;5519~yg4?83:1?7?53zJ47>"093>i7d?7:188m4?=831d:n4?::`;1?6=;3:1:658 11=:ko0e?650;&;5?4b32c957>5$9396`={t910;6?uQ199><0<512wx=44?:3y]5<=:0<0946s|6b83>7}Y>j16484:0:~f71a29086<4<{I50?!162=h0e<650;9j5<<722e=o7>5;c:6>5<4290;w)9>:6:8L2d<@>90(5?5769'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xu603:1>vP>8:?;1?4>3ty:57>52z\2=>;?=3837p}9c;296~X1k27397;?;|a62c=8391=7=tH618 27=5$9396`=i283:1(5?55698yv7?2909wS?7;<:6>7?22;20q~8l:181[0d342>68>4}|`ge?6=;3;1?vF83:&45?2e3`;36=44i0;94?=h>j0;66l75;297?6=8r.<=797;I5a?M143-2:6:94$5596gco513:1(5?52d98k06=83.3=7;8;:p5=<72;qU=5528481=>{t900;6?uQ189><0<502wx:n4?:3y]2f=:0<0><6srbe;94?5=939pD:=4$6390g=n910;66g>9;29?j0d2900n5;50;194?6|,>;1;55G7c9K36=#080<;6*;7;0aa>o503:1(5?52d98m7?=83.3=75<5sW;3706::3;8yv7>2909wS?6;<:6>7>22<:0qplk8;297?7=;rB53;294~"093=37E9m;I50?!>62>=0(9952cg8m7>=83.3=7h54o4294?"?93?<76s|1983>7}Y9116484=9:p5<<72;qU=4528481<>{t>j0;6?uQ6b9><0<282wvnh=50;195?5|@>90(:?54c9j5=<722c:57>5;n4`>5<h54i3;94?"?938n76a:0;29 =7==>10q~?7:181[7?342>6?74}r3:>5<5sW;2706::3:8yv0d2909wS8l;<:6>067>53;397~N0;2.<=7:m;h3;>5<>d?=3:1?7>50z&45?1?3A=i7E9<;%:2>21<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wx=54?:3y]5==:0<0956s|1883>7}Y9016484=8:p2f<72;qU:n5284864>{zjl;1<7=51;1xL25<,>;18o5f1983>>o613:17b8l:188f=3=8391<7>t$6393==O?k1C;>5+80843>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=22;30q~?6:181[7>342>6?64}r4`>5<5sW"093>i7d?7:188m4?=831d:n4?::`;1?6=;3:1:658 11=:ko0e?650;&;5?4b32c957>5$9396`={t910;6?uQ199><0<512wx=44?:3y]5<=:0<0946s|6b83>7}Y>j16484:0:~fa`=8391=7=tH618 27=5$9396`=i283:1(5?55698yv7?2909wS?7;<:6>7?22;20q~8l:181[0d342>68>4}|`ga?6=;3;1?vF83:&45?2e3`;36=44i0;94?=h>j0;66l75;297?6=8r.<=797;I5a?M143-2:6:94$5596gco513:1(5?52d98k06=83.3=7;8;:p5=<72;qU=5528481=>{t900;6?uQ189><0<502wx:n4?:3y]2f=:0<0><6srbef94?5=939pD:=4$6390g=n910;66g>9;29?j0d2900n5;50;194?6|,>;1;55G7c9K36=#080<;6*;7;0aa>o503:1(5?52d98m7?=83.3=75<5sW;3706::3;8yv7>2909wS?6;<:6>7>22<:0qplkc;297?7=;rB53;294~"093=37E9m;I50?!>62>=0(9952cg8m7>=83.3=7h54o4294?"?93?<76s|1983>7}Y9116484=9:p5<<72;qU=4528481<>{t>j0;6?uQ6b9><0<282wvnil50;195?5|@>90(:?54c9j5=<722c:57>5;n4`>5<h54i3;94?"?938n76a:0;29 =7==>10q~?7:181[7?342>6?74}r3:>5<5sW;2706::3:8yv0d2909wS8l;<:6>065}#?808=6F8b:J47>"?93n0(9952cg8m71=83.3=750z&45?333A=i7E9<;%:2>05<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wi==950;094?6|,>;1?<5G7c9K36=#080o7):8:3`f?l40290/4<4=e:9l15<72-2:6894H928?xd6810;6>4?:1y'34<>3A=i7E9<;%:2>==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21d9=4?:%:2>01<3th:==4?:383>5}#?808=6F8b:J47>"?93n0(9952cg8m71=83.3=750z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=53;294~"09330D:l4H618 =7=02.?;7h54i3;94?"?938n76a:0;29 =7==>10qo;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zj8:n6=4<:183!162<>0D:l4H618 =7==:1/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65`5183>!>62<=07plm3;296?6=8r.<=7=>;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zjk>1<7=50;2x 27=12B<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wimk4?:383>5}#?808=6F8b:J47>"?93n0(9952cg8m71=83.3=7<=O?k1C;>5+808;?!202;hn7d<7:18'<4<5m21b>44?:%:2>7c<3f?;6=4+80863>=zjhh1<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`bg?6=;3:190(5?58:&73?4em2c947>5$9396`=i283:1(5?55698ygb129096=4?{%52>67<@>h0D:=4$939`>"3?38ii6g=7;29 =7=:l10c8>50;&;5?303A2;76smd683>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`g6?6=:3:1:e9'02<5jl1b>:4?:%:2>7c<3f?;6=4+80863>N?821vni=50;194?6|,>;156F8b:J47>"?9320(9952cg8m7>=83.3=7h54o4294?"?93?<76smcd83>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vnno50;094?6|,>;1?<5G7c9K36=#080o7):8:3`f?l40290/4<4=e:9l15<72-2:6894H928?xddj3:1?7>50z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=h54o4294?"?93?<7E6?;:ag2<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xdd:3:1>7>50z&45?563A=i7E9<;%:2>a=#<>09nh5f2683>!>62;o07b;?:18'<4<2?2B3<65rbb194?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:af`<72;0;6=u+70805>N0j2B<7>5$93912=O0910qoli:180>5<7s-=:645G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;n73>5<#080>;65rbcc94?4=83:p(:?5309K3g=O?:1/4<4k;%64>7db3`8<6=4+8081a>=h=90;6)6>:458L=6<3thin7>53;294~"09330D:l4H618 =7=02.?;7h54i3;94?"?938n76a:0;29 =7==>10qol9:181>5<7s-=:6>?4H6`8L25<,1;1h6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;I:3?>{ej>0;6>4?:1y'34<>3A=i7E9<;%:2>==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21d9=4?:%:2>01<3thj97>52;294~"0939:7E9m;I50?!>62m1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;6F70:9~fd0=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pln7;297?6=8r.<=774H6`8L25<,1;146*;7;0aa>o503:1(5?52d98m7?=83.3=75<4290;w)9>:89K3g=O?:1/4<47;%64>7db3`836=4+8081a>=n:00;6)6>:3g8?j37290/4<4:7:9~f`1=8391<7>t$639=>N0j2B5$9396`={em00;6>4?:1y'34<>3A=i7E9<;%:2>==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21d9=4?:%:2>01<3thnn7>53;294~"09330D:l4H618 =7=02.?;7h54i3;94?"?938n76a:0;29 =7==>10qokk:180>5<7s-=:645G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;n73>5<#080>;65rbdd94?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:ab4<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xda;3:1?7>50z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=6=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vnk950;194?6|,>;156F8b:J47>"?9320(9952cg8m7>=83.3=7h54o4294?"?93?<76smf883>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`13f<72;0;6=u+70805>N0j2B<7>5$93912=O0910qo<8d;297?6=8r.<=774H6`8L25<,1;146*;7;0aa>o503:1(5?52d98m7?=83.3=7:e9'02<5jl1b>:4?:%:2>7c<3f?;6=4+80863>N?821vn?96:180>5<7s-=:645G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;n73>5<#080>;65rb357>5<5290;w)9>:238L2d<@>90(5?5d:&73?4em2c9;7>5$9396`=732wi>:;50;194?6|,>;156F8b:J47>"?9320(9952cg8m7>=83.3=7h54o4294?"?93?<76sm26294?4=83:p(:?5309K3g=O?:1/4<4k;%64>7db3`8<6=4+8081a>=h=90;6)6>:458L=6<3th9;<4?:283>5}#?8027E9m;I50?!>6211/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65`5183>!>62<=07pl=6083>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=7>52;294~"0939:7E9m;I50?!>62m1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;6F70:9~f70429086=4?{%52><=O?k1C;>5+808;?!202;hn7d<7:18'<4<5m21b>44?:%:2>7c<3f?;6=4+80863>=zj;286=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vn?6::180>5<7s-=:645G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;n73>5<#080>;65rb3:4>5<4290;w)9>:89K3g=O?:1/4<47;%64>7db3`836=4+8081a>=n:00;6)6>:3g8?j37290/4<4:7:9~f7>c29086=4?{%52><=O?k1C;>5+808;?!202;hn7d<7:18'<4<5m21b>44?:%:2>7c<3f?;6=4+80863>=zj;2n6=4=:183!162:;0D:l4H618 =7=l2.?;7h54o4294?"?93?<7E6?;:a6=`=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pl=9183>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=53;294~"09330D:l4H618 =7=02.?;7h54i3;94?"?938n76a:0;29 =7==>10qo<62;290?6=8r.<=7o4H6`8L25<,1;146*;7;0aa>o503:1(5?52d98m7?=83.3=7h54o4294?"?93?<76sm15794?0=83:p(:?5c:J4f>N0;2.3=764$5596gco513:1(5?52d98m7g=83.3=7h54i3a94?"?938n76a:0;29 =7==>10qo?;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zj8>;6=4=:183!162:;0D:l4H618 =7=l2.?;7h54o4294?"?93?<7E6?;:a517=83>1<7>t$639e>N0j2B5$9396`=i283:1(5?55698yg4fl3:1?7>50z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=52;294~"0939:7E9m;I50?!>62m1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;6F70:9~f7ga29096=4?{%52>67<@>h0D:=4$939`>"3?38ii6g=7;29 =7=:l10c8>50;&;5?303A2;76sm2c294?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a6g7=83>1<7>t$639e>N0j2B5$9396`=i283:1(5?55698yg>d29096=4?{%52>67<@>h0D:=4$939`>"3?38ii6g=7;29 =7=:l10c8>50;&;5?303A2;76sm8d83>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`;b?6=:3:1:e9'02<5jl1b>:4?:%:2>7c<3f?;6=4+80863>N?821vn4>50;094?6|,>;1?<5G7c9K36=#080o7):8:3`f?l40290/4<4=e:9l15<72-2:6894H928?xd>93:1>7>50z&45?563A=i7E9<;%:2>a=#<>09nh5f2683>!>62;o07b;?:18'<4<2?2B3<65rb8094?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a=6<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xd><3:1?7>50z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=6=4;:183!162h1C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07d01<3th3h7>53;294~"093??7E9m;I50?!>62<90(9952cg8m7>=83.3=7h54o4294?"?93?<76sm27794?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a630=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pl=6683>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=52;294~"0939:7E9m;I50?!>62m1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;6F70:9~f70>29096=4?{%52>67<@>h0D:=4$939`>"3?38ii6g=7;29 =7=:l10c8>50;&;5?303A2;76sm27c94?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a63d=83>1<7>t$639e>N0j2B5$9396`=i283:1(5?55698yg41k3:1?7>50z&45?333A=i7E9<;%:2>05<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wi>;j50;694?6|,>;1m6F8b:J47>"?9320(9952cg8m7>=83.3=7h54i3c94?"?938n76a:0;29 =7==>10qo?=7;297?6=8r.<=774H6`8L25<,1;146*;7;0aa>o503:1(5?52d98m7?=83.3=7:e9'02<5jl1b>:4?:%:2>7c<3f?;6=4+80863>N?821vn<5<7s-=:6>?4H6`8L25<,1;1h6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;I:3?>{e9;n1<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`26`<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xd6:o0;6?4?:1y'34<492Bok4i3594?"?938n76a:0;29 =7==>1C4=54}c304?6=;3:190(5?58:&73?4em2c947>5$9396`=i283:1(5?55698yg7493:1?7>50z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=7>54;294~"093k0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10c8>50;&;5?3032wi=?650;194?6|,>;1995G7c9K36=#080>?6*;7;0aa>o503:1(5?52d98m7?=83.3=790(5?58:&73?4em2c947>5$9396`=i283:1(5?55698yg75i3:1?7>50z&45?333A=i7E9<;%:2>05<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wi=i>50;194?6|,>;156F8b:J47>"?9320(9952cg8m7>=83.3=7h54o4294?"?93?<76sm1e694?4=83:p(:?5309K3g=O?:1/4<4k;%64>7db3`8<6=4+8081a>=h=90;6)6>:458L=6<3th:h84?:383>5}#?808=6F8b:J47>"?93n0(9952cg8m71=83.3=73:1>7>50z&45?563A=i7E9<;%:2>a=#<>09nh5f2683>!>62;o07b;?:18'<4<2?2B3<65rb0f4>5<4290;w)9>:89K3g=O?:1/4<47;%64>7db3`836=4+8081a>=n:00;6)6>:3g8?j37290/4<4:7:9~f4b?29096=4?{%52>67<@>h0D:=4$939`>"3?38ii6g=7;29 =7=:l10c8>50;&;5?303A2;76sm1e;94?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a5ag=8391<7>t$639=>N0j2B5$9396`={e9mh1<7:50;2x 27=i2B<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10e?o50;&;5?4b32e><7>5$93912=53;294~"093??7E9m;I50?!>62<90(9952cg8m7>=83.3=7h54o4294?"?93?<76sm1e094?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a5a5=8391<7>t$63911=O?k1C;>5+80867>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=53;294~"09330D:l4H618 =7=02.?;7h54i3;94?"?938n76a:0;29 =7==>10qo?l3;296?6=8r.<=7=>;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zj8i?6=4=:183!162:;0D:l4H618 =7=l2.?;7h54o4294?"?93?<7E6?;:a5f3=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pl>c783>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`2g2<72;0;6=u+70805>N0j2B<7>5$93912=O0910qo?l8;297?6=8r.<=774H6`8L25<,1;146*;7;0aa>o503:1(5?52d98m7?=83.3=790(5?58:&73?4em2c947>5$9396`=i283:1(5?55698yg7di3:187>50z&45?g<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32c9m7>5$9396`={e9j:1<7=50;2x 27===1C;o5G729'<4<2;2.?;7h54i3;94?"?938n76a:0;29 =7==>10qo?l1;297?6=8r.<=774H6`8L25<,1;146*;7;0aa>o503:1(5?52d98m7?=83.3=7:418 11=:ko0e?650;&;5?4b32c957>5$9396`={e:k91<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`1f1<72;0;6=u+70805>N0j2B<7>5$93912=O0910qo;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zj;h=6=4=:183!162:;0D:l4H618 =7=l2.?;7h54o4294?"?93?<7E6?;:a6g1=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pl=b983>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`1f<<72=0;6=u+708b?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=n:h0;6)6>:3g8?j37290/4<4:7:9~f7df29086=4?{%52><=O?k1C;>5+808;?!202;hn7d<7:18'<4<5m21b>44?:%:2>7c<3f?;6=4+80863>=zj;hi6=4::183!162<<0D:l4H618 =7==:1/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65f2`83>!>62;o07d01<3th95;4?:283>5}#?80>86F8b:J47>"?93?87):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;n73>5<#080>;65rb3;4>5<5290;w)9>:238L2d<@>90(5?5d:&73?4em2c9;7>5$9396`=732wi>4650;094?6|,>;1?<5G7c9K36=#080o7):8:3`f?l40290/4<4=e:9l15<72-2:6894H928?xd5100;694?:1y'34==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21b>l4?:%:2>7c<3f?;6=4+80863>=zj8><6=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vn<:k:180>5<7s-=:645G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;n73>5<#080>;65rb06f>5<5290;w)9>:238L2d<@>90(5?5d:&73?4em2c9;7>5$9396`=732wi=9h50;094?6|,>;1?<5G7c9K36=#080o7):8:3`f?l40290/4<4=e:9l15<72-2:6894H928?xd6=90;6?4?:1y'34<492Bok4i3594?"?938n76a:0;29 =7==>1C4=54}c365?6=:3:1:e9'02<5jl1b>:4?:%:2>7c<3f?;6=4+80863>N?821vn<;=:181>5<7s-=:6>?4H6`8L25<,1;1h6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;I:3?>{e9<91<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`211<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xd6<10;684?:1y'34==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21b>l4?:%:2>7c<3`8i6=4+8081a>=h=90;6)6>:458?xd6<00;694?:1y'34==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21b>l4?:%:2>7c<3f?;6=4+80863>=zj8>j6=4<:183!162<>0D:l4H618 =7==:1/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65`5183>!>62<=07pl>4c83>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`20f<72:0;6=u+70860>N0j2B44?:%:2>7c<3f?;6=4+80863>=zj8<:6=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vn<88:180>5<7s-=:645G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;n73>5<#080>;65rb04;>5<5290;w)9>:238L2d<@>90(5?5d:&73?4em2c9;7>5$9396`=732wi=;750;094?6|,>;1?<5G7c9K36=#080o7):8:3`f?l40290/4<4=e:9l15<72-2:6894H928?xd6>h0;6?4?:1y'34<492Bok4i3594?"?938n76a:0;29 =7==>1C4=54}c35f?6=:3:1:e9'02<5jl1b>:4?:%:2>7c<3f?;6=4+80863>N?821vn<8l:181>5<7s-=:6>?4H6`8L25<,1;1h6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;I:3?>{e9?n1<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`22`<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xd6>;0;684?:1y'34==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21b>l4?:%:2>7c<3`8i6=4+8081a>=h=90;6)6>:458?xd6>:0;694?:1y'34==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21b>l4?:%:2>7c<3f?;6=4+80863>=zj80D:l4H618 =7==:1/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65`5183>!>62<=07pl>6483>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`223<72:0;6=u+70860>N0j2B44?:%:2>7c<3f?;6=4+80863>=zj8=:6=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vn<98:180>5<7s-=:645G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;n73>5<#080>;65rb05;>5<5290;w)9>:238L2d<@>90(5?5d:&73?4em2c9;7>5$9396`=732wi=:750;094?6|,>;1?<5G7c9K36=#080o7):8:3`f?l40290/4<4=e:9l15<72-2:6894H928?xd6?h0;6?4?:1y'34<492Bok4i3594?"?938n76a:0;29 =7==>1C4=54}c34f?6=:3:1:e9'02<5jl1b>:4?:%:2>7c<3f?;6=4+80863>N?821vn<9l:181>5<7s-=:6>?4H6`8L25<,1;1h6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;I:3?>{e9>n1<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`23`<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xd6?;0;684?:1y'34==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21b>l4?:%:2>7c<3`8i6=4+8081a>=h=90;6)6>:458?xd6?:0;694?:1y'34==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21b>l4?:%:2>7c<3f?;6=4+80863>=zj8=?6=4<:183!162<>0D:l4H618 =7==:1/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65`5183>!>62<=07pl>7483>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`233<72:0;6=u+70860>N0j2B44?:%:2>7c<3f?;6=4+80863>=zj8nn6=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vn5<7s-=:6>?4H6`8L25<,1;1h6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;I:3?>{e9l91<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`2a1<72;0;6=u+70805>N0j2B<7>5$93912=O0910qo?j5;296?6=8r.<=7=>;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zj8o=6=4=:183!162:;0D:l4H618 =7=l2.?;7h54o4294?"?93?<7E6?;:a5`1=8391<7>t$639=>N0j2B5$9396`={e9l21<7:50;2x 27=i2B<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10e?o50;&;5?4b32e><7>5$93912=54;294~"093k0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10c8>50;&;5?3032wi=ih50;194?6|,>;1995G7c9K36=#080>?6*;7;0aa>o503:1(5?52d98m7?=83.3=790(5?58:&73?4em2c947>5$9396`=i283:1(5?55698yg7b93:1?7>50z&45?333A=i7E9<;%:2>05<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wi=5?50;194?6|,>;156F8b:J47>"?9320(9952cg8m7>=83.3=7h54o4294?"?93?<76sm19594?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a5=>=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pl>8883>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=52;294~"0939:7E9m;I50?!>62m1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;6F70:9~f4>e29096=4?{%52>67<@>h0D:=4$939`>"3?38ii6g=7;29 =7=:l10c8>50;&;5?303A2;76sm19a94?4=83:p(:?5309K3g=O?:1/4<4k;%64>7db3`8<6=4+8081a>=h=90;6)6>:458L=6<3th:4i4?:383>5}#?808=6F8b:J47>"?93n0(9952cg8m71=83.3=750z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=7>55;294~"093h0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10e?l50;&;5?4b32e><7>5$93912=54;294~"093k0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10c8>50;&;5?3032wi=5:50;194?6|,>;1995G7c9K36=#080>?6*;7;0aa>o503:1(5?52d98m7?=83.3=790(5?58:&73?4em2c947>5$9396`=i283:1(5?55698yg7?>3:1?7>50z&45?333A=i7E9<;%:2>05<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wi=hm50;194?6|,>;156F8b:J47>"?9320(9952cg8m7>=83.3=7h54o4294?"?93?<76sm1g094?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a5c5=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pl>f583>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=52;294~"0939:7E9m;I50?!>62m1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;6F70:9~f4`129096=4?{%52>67<@>h0D:=4$939`>"3?38ii6g=7;29 =7=:l10c8>50;&;5?303A2;76sm1g594?4=83:p(:?5309K3g=O?:1/4<4k;%64>7db3`8<6=4+8081a>=h=90;6)6>:458L=6<3th:j54?:383>5}#?808=6F8b:J47>"?93n0(9952cg8m71=83.3=750z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=55;294~"093h0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10e?l50;&;5?4b32e><7>5$93912=54;294~"093k0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10c8>50;&;5?3032wi=hh50;194?6|,>;1995G7c9K36=#080>?6*;7;0aa>o503:1(5?52d98m7?=83.3=790(5?58:&73?4em2c947>5$9396`=i283:1(5?55698yg7a93:1?7>50z&45?333A=i7E9<;%:2>05<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wi=4?50;194?6|,>;156F8b:J47>"?9320(9952cg8m7>=83.3=7h54o4294?"?93?<76sm18594?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a5<>=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pl>9883>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=52;294~"0939:7E9m;I50?!>62m1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;6F70:9~f4?e29096=4?{%52>67<@>h0D:=4$939`>"3?38ii6g=7;29 =7=:l10c8>50;&;5?303A2;76sm18a94?4=83:p(:?5309K3g=O?:1/4<4k;%64>7db3`8<6=4+8081a>=h=90;6)6>:458L=6<3th:5i4?:383>5}#?808=6F8b:J47>"?93n0(9952cg8m71=83.3=7m3:1?7>50z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=7>55;294~"093h0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10e?l50;&;5?4b32e><7>5$93912=54;294~"093k0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10c8>50;&;5?3032wi=4:50;194?6|,>;1995G7c9K36=#080>?6*;7;0aa>o503:1(5?52d98m7?=83.3=790(5?58:&73?4em2c947>5$9396`=i283:1(5?55698yg7>>3:1?7>50z&45?333A=i7E9<;%:2>05<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wi=km50;194?6|,>;156F8b:J47>"?9320(9952cg8m7>=83.3=7h54o4294?"?93?<76sm21094?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a655=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pl=0583>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=52;294~"0939:7E9m;I50?!>62m1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;6F70:9~f76129096=4?{%52>67<@>h0D:=4$939`>"3?38ii6g=7;29 =7=:l10c8>50;&;5?303A2;76sm21594?4=83:p(:?5309K3g=O?:1/4<4k;%64>7db3`8<6=4+8081a>=h=90;6)6>:458L=6<3th9<54?:383>5}#?808=6F8b:J47>"?93n0(9952cg8m71=83.3=750z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=55;294~"093h0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10e?l50;&;5?4b32e><7>5$93912=54;294~"093k0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10c8>50;&;5?3032wi=kh50;194?6|,>;1995G7c9K36=#080>?6*;7;0aa>o503:1(5?52d98m7?=83.3=790(5?58:&73?4em2c947>5$9396`=i283:1(5?55698yg4793:1?7>50z&45?333A=i7E9<;%:2>05<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wi=l?50;194?6|,>;156F8b:J47>"?9320(9952cg8m7>=83.3=7h54o4294?"?93?<76sm1`594?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a5d>=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pl>a883>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=52;294~"0939:7E9m;I50?!>62m1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;6F70:9~f4ge29096=4?{%52>67<@>h0D:=4$939`>"3?38ii6g=7;29 =7=:l10c8>50;&;5?303A2;76sm1`a94?4=83:p(:?5309K3g=O?:1/4<4k;%64>7db3`8<6=4+8081a>=h=90;6)6>:458L=6<3th:mi4?:383>5}#?808=6F8b:J47>"?93n0(9952cg8m71=83.3=750z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=7>55;294~"093h0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10e?l50;&;5?4b32e><7>5$93912=54;294~"093k0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10c8>50;&;5?3032wi=l:50;194?6|,>;1995G7c9K36=#080>?6*;7;0aa>o503:1(5?52d98m7?=83.3=790(5?58:&73?4em2c947>5$9396`=i283:1(5?55698yg7f>3:1?7>50z&45?333A=i7E9<;%:2>05<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wi>=m50;194?6|,>;156F8b:J47>"?9320(9952cg8m7>=83.3=7h54o4294?"?93?<76sm20094?5=83:p(:?59:J4f>N0;2.3=764$5596gco513:1(5?52d98k06=83.3=7;8;:a645=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pl=1583>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=52;294~"0939:7E9m;I50?!>62m1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;6F70:9~f77129096=4?{%52>67<@>h0D:=4$939`>"3?38ii6g=7;29 =7=:l10c8>50;&;5?303A2;76sm20594?4=83:p(:?5309K3g=O?:1/4<4k;%64>7db3`8<6=4+8081a>=h=90;6)6>:458L=6<3th9=54?:383>5}#?808=6F8b:J47>"?93n0(9952cg8m71=83.3=750z&45??<@>h0D:=4$939<>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=55;294~"093h0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10e?l50;&;5?4b32e><7>5$93912=54;294~"093k0D:l4H618 =7=02.?;7h54i3;94?"?938n76g=a;29 =7=:l10c8>50;&;5?3032wi>=h50;194?6|,>;1995G7c9K36=#080>?6*;7;0aa>o503:1(5?52d98m7?=83.3=790(5?58:&73?4em2c947>5$9396`=i283:1(5?55698yg4693:1?7>50z&45?333A=i7E9<;%:2>05<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wi=o?50;194?6|,>;156F8b:J47>"?9320(9952cg8m7>=83.3=7h54o4294?"?93?<76sm1c794?4=83:p(:?5309K3g=O?:1/4<4k;%64>7db3`8<6=4+8081a>=h=90;6)6>:458L=6<3th:n;4?:383>5}#?808=6F8b:J47>"?93n0(9952cg8m71=83.3=77>50z&45?563A=i7E9<;%:2>a=#<>09nh5f2683>!>62;o07b;?:18'<4<2?2B3<65rb0`;>5<5290;w)9>:238L2d<@>90(5?5d:&73?4em2c9;7>5$9396`=732wi=o750;094?6|,>;1?<5G7c9K36=#080o7):8:3`f?l40290/4<4=e:9l15<72-2:6894H928?xd6jh0;6>4?:1y'34<>3A=i7E9<;%:2>==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21d9=4?:%:2>01<3th:no4?:583>5}#?80j7E9m;I50?!>6211/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65f2`83>!>62;o07b;?:18'<4<2?21vn5<7s-=:6l5G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;h0b>5<#0809i65`5183>!>62<=07pl>b383>6<729q/;<4:4:J4f>N0;2.3=7;<;%64>7db3`836=4+8081a>=n:00;6)6>:3g8?j37290/4<4:7:9~f4d429086=4?{%52><=O?k1C;>5+808;?!202;hn7d<7:18'<4<5m21b>44?:%:2>7c<3f?;6=4+80863>=zj8h?6=4<:183!162<>0D:l4H618 =7==:1/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65`5183>!>62<=07pl=1b83>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`167<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xd5::0;6?4?:1y'34<492Bok4i3594?"?938n76a:0;29 =7==>1C4=54}c010?6=:3:1:e9'02<5jl1b>:4?:%:2>7c<3f?;6=4+80863>N?821vn?<::181>5<7s-=:6>?4H6`8L25<,1;1h6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;I:3?>{e:;<1<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`162<72;0;6=u+70805>N0j2B<7>5$93912=O0910qo<=8;296?6=8r.<=7=>;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zj;826=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vn??k:186>5<7s-=:6o5G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;h0b>5<#0809i65f2c83>!>62;o07b;?:18'<4<2?21vn??j:187>5<7s-=:6l5G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;h0b>5<#0809i65`5183>!>62<=07pl=1g83>6<729q/;<4:4:J4f>N0;2.3=7;<;%64>7db3`836=4+8081a>=n:00;6)6>:3g8?j37290/4<4:7:9~f74729086=4?{%52><=O?k1C;>5+808;?!202;hn7d<7:18'<4<5m21b>44?:%:2>7c<3f?;6=4+80863>=zj;8:6=4<:183!162<>0D:l4H618 =7==:1/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65`5183>!>62<=07pl=2b83>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`177<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xd5;:0;6?4?:1y'34<492Bok4i3594?"?938n76a:0;29 =7==>1C4=54}c000?6=:3:1:e9'02<5jl1b>:4?:%:2>7c<3f?;6=4+80863>N?821vn?=::181>5<7s-=:6>?4H6`8L25<,1;1h6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;I:3?>{e::<1<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`172<72;0;6=u+70805>N0j2B<7>5$93912=O0910qo<<8;296?6=8r.<=7=>;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zj;926=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vn?5<7s-=:6o5G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;h0b>5<#0809i65f2c83>!>62;o07b;?:18'<4<2?21vn?5<7s-=:6l5G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;h0b>5<#0809i65`5183>!>62<=07pl=2g83>6<729q/;<4:4:J4f>N0;2.3=7;<;%64>7db3`836=4+8081a>=n:00;6)6>:3g8?j37290/4<4:7:9~f75729086=4?{%52><=O?k1C;>5+808;?!202;hn7d<7:18'<4<5m21b>44?:%:2>7c<3f?;6=4+80863>=zj;9:6=4<:183!162<>0D:l4H618 =7==:1/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65`5183>!>62<=07pl=3b83>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`107<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xd5<:0;6?4?:1y'34<492Bok4i3594?"?938n76a:0;29 =7==>1C4=54}c070?6=:3:1:e9'02<5jl1b>:4?:%:2>7c<3f?;6=4+80863>N?821vn?:::181>5<7s-=:6>?4H6`8L25<,1;1h6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;I:3?>{e:=<1<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`102<72;0;6=u+70805>N0j2B<7>5$93912=O0910qo<;8;296?6=8r.<=7=>;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zj;>26=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vn?=k:186>5<7s-=:6o5G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;h0b>5<#0809i65f2c83>!>62;o07b;?:18'<4<2?21vn?=j:187>5<7s-=:6l5G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;h0b>5<#0809i65`5183>!>62<=07pl=3g83>6<729q/;<4:4:J4f>N0;2.3=7;<;%64>7db3`836=4+8081a>=n:00;6)6>:3g8?j37290/4<4:7:9~f72729086=4?{%52><=O?k1C;>5+808;?!202;hn7d<7:18'<4<5m21b>44?:%:2>7c<3f?;6=4+80863>=zj;>:6=4<:183!162<>0D:l4H618 =7==:1/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65`5183>!>62<=07pl=4b83>6<729q/;<46;I5a?M143-2:655+4681f`=n:10;6)6>:3g8?l4>290/4<4=e:9l15<72-2:6894;|`117<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xd5=:0;6?4?:1y'34<492Bok4i3594?"?938n76a:0;29 =7==>1C4=54}c060?6=:3:1:e9'02<5jl1b>:4?:%:2>7c<3f?;6=4+80863>N?821vn?;::181>5<7s-=:6>?4H6`8L25<,1;1h6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;I:3?>{e:<<1<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`112<72;0;6=u+70805>N0j2B<7>5$93912=O0910qo<:8;296?6=8r.<=7=>;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zj;?26=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vn?:k:186>5<7s-=:6o5G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;h0b>5<#0809i65f2c83>!>62;o07b;?:18'<4<2?21vn?:j:187>5<7s-=:6l5G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;h0b>5<#0809i65`5183>!>62<=07pl=4g83>6<729q/;<4:4:J4f>N0;2.3=7;<;%64>7db3`836=4+8081a>=n:00;6)6>:3g8?j37290/4<4:7:9~f73729086=4?{%52><=O?k1C;>5+808;?!202;hn7d<7:18'<4<5m21b>44?:%:2>7c<3f?;6=4+80863>=zj;?:6=4<:183!162<>0D:l4H618 =7==:1/8:4=bd9j6=<72-2:6?k4;h0:>5<#0809i65`5183>!>62<=07pl>5783>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=;7>53;294~"09330D:l4H618 =7=02.?;7h54i3;94?"?938n76a:0;29 =7==>10qo?:8;296?6=8r.<=7=>;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zj8?26=4=:183!162:;0D:l4H618 =7=l2.?;7h54o4294?"?93?<7E6?;:a50g=8391<7>t$639=>N0j2B5$9396`={e9<,==1>ok4i3:94?"?938n76g=9;29 =7=:l10c8>50;&;5?3032wi=8m50;194?6|,>;1995G7c9K36=#080>?6*;7;0aa>o503:1(5?52d98m7?=83.3=790(5?58:&73?4em2c947>5$9396`=o5i3:1(5?52d98k06=83.3=7;8;:a50c=8391<7>t$63911=O?k1C;>5+80867>"3?38ii6g=8;29 =7=:l10e?750;&;5?4b32e><7>5$93912=53;294~"09330D:l4H618 =7=02.?;7h54i3;94?"?938n76a:0;29 =7==>10qo;I5a?M143-2:6i5+4681f`=n:>0;6)6>:3g8?j37290/4<4:7:J;4>=zj;k:6=4=:183!162:;0D:l4H618 =7=l2.?;7h54o4294?"?93?<7E6?;:a6d4=8381<7>t$63974=O?k1C;>5+808g?!202;hn7d<8:18'<4<5m21d9=4?:%:2>01<@1:07pl=a283>7<729q/;<4<1:J4f>N0;2.3=7j4$5596gci283:1(5?5569K<5=52;294~"0939:7E9m;I50?!>62m1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;6F70:9~f7g229086=4?{%52><=O?k1C;>5+808;?!202;hn7d<7:18'<4<5m21b>44?:%:2>7c<3f?;6=4+80863>=zj;k=6=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vn?o8:180>5<7s-=:645G7c9K36=#08037):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;n73>5<#080>;65rb3;f>5<4290;w)9>:468L2d<@>90(5?5529'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xd51o0;694?:1y'34==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21b>l4?:%:2>7c<3f?;6=4+80863>=zj89?6=4<:183!16201C;o5G729'<4<6?lj;h0;>5<#0809i65f2883>!>62;o07b;?:18'<4<2?21vn<=::181>5<7s-=:6>?4H6`8L25<,1;1h6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;I:3?>{e9:<1<7<50;2x 27=;81C;o5G729'<4<6?lj;h04>5<#0809i65`5183>!>62<=0D5>4;|`272<72:0;6=u+708:?M1e3A=87)6>:99'02<5jl1b>54?:%:2>7c<3`826=4+8081a>=h=90;6)6>:458?xd6;10;6>4?:1y'34<>3A=i7E9<;%:2>==#<>09nh5f2983>!>62;o07d<6:18'<4<5m21d9=4?:%:2>01<3th:?44?:283>5}#?80>86F8b:J47>"?93?87):8:3`f?l4?290/4<4=e:9j6<<72-2:6?k4;n73>5<#080>;65rb01b>5<4290;w)9>:89K3g=O?:1/4<47;%64>7db3`836=4+8081a>=n:00;6)6>:3g8?j37290/4<4:7:9~f45e290?6=4?{%52>03<@>h0D:=4$93916=#<>09nh5f2983>!>62;o07d<6:18'<4<5m21b>l4?:%:2>7c<3f?;6=4+80863>=zj>k1<7<50;2x 27=:m1C;o5G729'<4<7>5$93912=01<3th<<7>52;294~"093?:7E9m;I50?!>62m1C?>5+35863>"3?38ii6g=7;29 =7=:l10c8>50;&;5?3032wi8;4?:383>5}#?80>=6F8b:J47>"?93n0D>=4$26912=#<>09nh5f2683>!>62;o07b;?:18'<4<2?21vn9;50;094?6|,>;19<5G7c9K36=#080o7E=<;%17>01<,==1>ok4i3594?"?938n76a:0;29 =7==>10qo:;:181>5<7s-=:68?4H6`8L25<,1;1h6F<3:&00?303-><6?lj;h04>5<#0809i65`5183>!>62<=07pl;3;296?6=8r.<=7;>;I5a?M143-2:6i5G329'71<2?2.?;7h54o4294?"?93?<76sm4383>7<729q/;<4:1:J4f>N0;2.3=7j4H218 62==>1/8:4=bd9j62<72-2:6?k4;n73>5<#080>;65rb5394?4=83:p(:?5509K3g=O?:1/4<4k;I10?!532<=0(9952cg8m71=83.3=75<5290;w)9>:438L2d<@>90(5?5d:J07>"4<3?<7):8:3`f?l40290/4<4=e:9l15<72-2:6894;|`0b?6=:3:1:e9K76=#;=0>;6*;7;0aa>o5?3:1(5?52d98k06=83.3=7;8;:a60<7280;6=u+80851>N0;2B9j6*<4;0f?!2>25}#080=96F83:J1b>"4<3?<7):6:4d8k33=83.3=78:;:a72<7280;6=u+80851>N0;2B9j6*<4;74?!2>2?:0c;;50;&;5?0232wi?54?:083>5}#080=96F83:J1b>"4<3?<7):6:738k33=83.3=78:;:a7<<7280;6=u+80851>N0;2B9j6*<4;74?!2>2?80c;;50;&;5?0232wi?l4?:083>5}#080=96F83:J1b>"4<3?<7):6:4c8k33=83.3=78:;:a7g<7280;6=u+80851>N0;2B9j6*<4;74?!2>25}#080=96F83:J1b>"4<3?<7):6:4g8k33=83.3=78:;:a7`<7280;6=u+80851>N0;2B9j6*<4;74?!2>2?90c;;50;&;5?0232wi:k4?:083>5}#080=96F83:J1b>"4<3?<7):6:768k33=83.3=78:;:a3<<7280;6=u+80851>N0;2B9j6*<4;0f?!2>284?:2y]60=::?09;63=5;46?xu5>3:1>=u210396==::hl1>:528g813>;>:38370?=b;04?875m38370?k4;04?87c?38370?l3;04?87d>38370038<703;04?87e=38<70<=3;04?844;38<70<;3;04?842;38<70?:8;04?872i383704=24920=z{:=1<7=t^258916==916?:495:p7=<72:qU?55240864>;403<>7p}<9;297~X4127?>7;?;<1:>33o5649~w6d=839pR>l4=56915=:;k0=96s|3b83>6}Y;j16884:0:?0g?023ty8i7>53z\0a>;3>3?;70=j:778yv2b290:iv38c;00?874l3;870?=5;30?84f13;870?:f;30?874k3;870?9f;30?87ck3;870?8f;30?87bi3;870?7f;30?87ai3;870?6f;30?847i3;870?nf;30?846i3;870?md;30?845i3;870?lb;30?844i3;870<;a;30?84><3;870<6b;30?8>>28901<>l:018971f28901?99:018971528901?8j:018973a2890q~8i:180[0a34=;68>4=7d920=z{>31<7=t^6;892g=:>16;4495:p3d<72;hp1<=k:028944228:01?o6:028943a28:01<=l:028940a28:01a28:01n:02894ga28:01??n:02894dc28:01?55e=9916n<4>0:?b`?7734k26<>4=e6955=:l90:<63lc;33?8e?28:01n:5119>g5<6827io7??;<`;>46<5h21==52a2824>;5?h0:<63=77824>;5?;0:<63=6d824>;5=o0:<638a;73?xu?03:1>?u28885e>;69909;63>4081=>;5im095637c;04?8>b2;201?8::3;894402;201<02;201=:3:894g02;201??=:3:894d62;201?<=:3:897552;201?:=:3:897352;201<;9:35894302;201?7i:3;8945f2;20q~6n:1811~;?13;=70??c;35?87dm38370??7;04?87693?;70??e;0:?84>838<70<98;04?84>038<70?;7;0;?872;38<70?91;0;?871l38<70?81;0;?870l38<70?j6;04?87?938370?7d;04?87bk38370?i8;04?87>938370?6d;04?87ak383708;04?87e138<70<>c;0;?845038<70<=c;0;?844038<70<d2909w06l:4289<4=:01v5k50;0x9=c==916584=9:p3ty2<7>52z?:4?37343?6?64}r;2>5<5s43:68>4=8696<=z{081<77}:1:0><6365;0;?xu><3:1>v364;73?8?22;k0q~7::1818?22<:015j5289~w<0=83?p1l=56`9>`g<6027j:7<8;7?<58oh6?74}rc7>5<5s4k86<84=`5915=z{h?1<70946s|a783>7}:i?0><63n7;0:?xu>i3:18v3n8;4b?8be28301h;5299>5ce=:01v4950;6x9d?=>h16h54>9:?e3?4?34;3=7<6;|qbe?6=:r7j57?9;0606<5hi1>45rs8:94?2|5hn1:l52d882=>;a138370?61;0:?xufm3:1>v3nd;35?8d72<:0q~oi:1818ga2<:01o>5289~w`d<6127:m<4=9:pf7<72;q6n<4>6:?a0?373tyi?7>52z?a7?3734h?6?74}r`6>5<5s4k36<84=c5915=z{k<1<70956s|9c83>1}:j10=m63kc;3:?8c02;201?>l:3;8yvd>2909w0l7:0489gd==91voo50;0x9gg==916no4=9:p=f<72=q6nn49a:?g`?7>34o26?64=33`>7?40<5kl19=5rscg94?4|5ko19=52bg81=>{t1m0;69u2c185e>;cm3;270km:3:8974d2;30q~m>:1818e728<01n=5519~wf4=838p1n<5519>g6<512wx5h4?:5y>g1<1i27oj7?6;7><5;9h6?74}ra6>5<5s4i?6<84=b5915=z{j<1<70956s|9g83>1}:k10=m63j0;3:?8ca2;201?:l:3;8yve>2909w0m7:0489fd==91vno50;0x9fg==916oo4=9:pe5<72=q6on49a:?f5?7>34l:6?64=064>7?40<5jl19=5rsbg94?4|5jo19=52cg81=>{ti80;69u2d185e>;b:3;270h<:3:894062;30q~j>:1818b728<01i=5519~wa4=838p1i<5519>`6<512wxm?4?:5y>`1<1i27n?7?6;7><58=:6?74}rf6>5<5s4n?6<84=e5915=z{m<1<70956s|e583>6}:lj0:463j5;73?8c02;30q~k9:1808bc28201h95519>a<<512wxi54?:2y>``<6027n57;?;7?4><5lh19=52ee81=>{tmj0;6>u2e182<>;bl3?;70ki:3;8yvcb2908w0k>:0:89``==916j<4=9:pb5<72:q6i?4>8:?e5?3734l86?74}rd1>5<4s4o86<64=g1915=:n<0956s|f583>6}:l10:463i5;73?8`02;30q~h9:1808b>28201k95519>b<<512wxj54?:3y>`d<6027m57;?;|qee?6=:r7o478l;7>3e<5k:1>55rsga94?4|5mk1:n52b581<>{tnm0;6?u2dc85g>;e?3837p}ie;296~;ck3?:1818bb2?i01n=5299~w4662909w0ji:7a89f1=:11v<>=:1818c72?i01nl5299~w4642909w0k>:7a89f`=:11v<>;:1818c52?i01i=5299~w4622909w0k<:7a89a1=:11v<>9:18f87703?;70l<:3589d`=:>16mo4=7:?g2?4034n96?94=bg962=:kh09;63l6;04?8e52;=01ok5269>fd<5?27i:7<8;7152z?242<2827:<54=9:p55d=83;mw0??c;4b?87dl38<70??8;0;?877m38370<7f;04?8?62;=01?86:358944c2;=012;=01?o;:358945?2;201<=6:3:8yv77l3:1>v3>0b825>;68l0><6s|11d94?4|58;;68>4=032>7?7>52z?260<1i27<<7<8;|q263<72;q6=?;5179>57g==91v<<8:181875?3?;70?<2;0:?xu6:10;6?u213:915=:9;31>55rs00:>5<5s4;957;?;<31e?4?3ty:>o4?:3y>57d==916=>>5289~w44d2909w0?=c;73?874:38j7p}>2e83>7}:9;n19=5213;96<=z{88n6=4={<31a?3734;8=7<7;|q26c<72;q6=?h5519>567=:01v<=?:18187483?;70?<2;0;?xu6;80;6?u2123915=:9;k1>45rs011>5<5s4;8>7;?;<313ty:?>4?:6y>573=9816=>m5109>5ae=9816=lh5109>5gb=9816=nl5109>56d==91v<=;:181874<3?;70?<7;0;?xu6;<0;6?u2127915=:9:=1>45rs015>5<5s4;8:7;?;<303ty:?:4?:3y>561==916=>75289~w45?2909w0?<8;73?874j38j7p}>3883>7}:9:319=5212c96<=z{89j6=4={<30e?3734;8n7<7;|q25f<72:q6=>m56`9>57c=:016=?65299~w4742908w0?552143962=:9?h1>:5216`962=:9l?1>:5219`962=:9o<1>:5218`962=::9<1>:521``962=::8<1>:521c:962=::;<1>:52224962=::=<1>:52244962=z{89m6=4={<30b?3734;?=7<7;|q205<72;q6=9>5519>517=:h1v<:=:1827~;5i00::63>42864>;5j>09;63=9781=>;6=;09;63>6b813>;6?j09;63>e5813>;60j09;63>f6813>;61j09;63=06813>;6ij09;63=16813>;6j>09;63=26813>;5;>09;63=46813>;5=>09;6s|15694?2|58>86?74=3:g>7><58>>68>4=34a>7?52z?27a<6>27:8n4:0:p511=838p1<:8:428942d2;20q~?;8;296~;6<10><63>4881e>{t9=31<706<58>j6?74}r37e?6=:r7:8l4:0:?20g<502wx=9l50;0x942e2<:01<:l:3;8yv73l3:1>v3>4e864>;6<00956s|15g94?4|58>n68>4=077>7?52z?20c<2827:854=8:p506=838p1<;?:428942?2;30q~?:1;296~;6=80><63>4981e>{t9<81<706<58>36?l4}r367?6=:r7:9>4:0:?20g<512wx=8:50;0x94332<:01<:6:3:8yv72=3:1iv3>3e825>;6=o0:=63>6g825>;6?o0:=63>e`825>;60o0:=63>f`825>;61o0:=63=0`825>;59h0:=63=2`825>;5;h0:=63=4`825>;6=l0><6s|14494?4|58?=68>4=07b>7?;7>52z?212<2827:9i4=9:p50>=838p1<;7:428943e2;30q~?:9;296~;6=00><63>5e81e>{t906<58?h6?74}r36f?6=:r7:9o4:0:?21a<502wx=8m50;0x943d2<:01<;j:3:8yv72l3:1>v3>5e864>;6=l0956s|10694?5|58?m6;o4=06b>7><5=91>:5rs043>5<5s4;>j7?9;<352?373ty::<4?:3y>537==916=;85299~w4052909w0?92;73?871;38j7p}>6283>7}:9?919=5217696<=z{8530=:01v<88:181871?3?;70?93;0:?xu6>10;6?u217:915=:9?o1>45rs04:>5<5s4;=57;?;<356?4?3ty::l4?:3y>53g==916=;<5289~w40e2909w0?9b;73?871:38j7p}>6b83>7}:9?i19=5217096g=z{8535=:11vo0::63>77864>{t9>;1<706<58==6?64}r346?6=:r7:;?4:0:?236<5i2wx=:=50;0x94142<:01<9;:3;8yv70<3:1>v3>75864>;6?<0946s|16794?4|58=>68>4=055>7?52z?232<2827:;>4=9:p52>=838p1<97:428941b2;30q~?89;296~;6?00><63>7381<>{t9>k1<706<58=96?74}r34f?6=:r7:;o4:0:?237<5i2wx=:m50;0x941d2<:01<9=:3`8yv70l3:1>v3>7e864>;6?<0956s|16g94?4|58=n68>4=050>7>52z?23c<1i27:;94=8:p5=6=838p1<9i:04894>12<:0q~?71;296~;6080><63>8781<>{t9181<706<58286?o4}r3;7?6=:r7:4>4:0:?2<1<512wx=5:50;0x94>32<:01<6::3:8yv7?=3:1>v3>84864>;60?0956s|19594?4|582<68>4=0:0>7?52z?2<=<2827:4h4=9:p5=?=838p1<66:42894>52;20q~?7a;296~;60h0><63>8381=>{t91h1<706<58296?o4}r3;g?6=:r7:4n4:0:?2<7<5j2wx=5j50;0x94>c2<:01<6::3;8yv7?m3:1>v3>8d864>;60:0946s|10594?4|582m6;o4=0:7>7>52z?227:5;4:0:p5<7=838p1<7>:42894?12;20q~?62;296~;61;0><63>9281e>{t9091<706<583?6?74}r3:0?6=:r7:594:0:?2=0<502wx=4;50;0x94?22<:01<79:3;8yv7>?3:1>v3>96864>;61:0956s|18:94?4|583368>4=0;f>7?52z?2=<<2827:5?4=8:p5<63>9381e>{t90i1<706<58396?l4}r3:`?6=:r7:5i4:0:?2=0<512wx=4k50;0x94?b2<:01<7<:3:8yv7603:1>v3>9g85e>;61=0946s|1`294?4|583m6<84=0c5>0652z?2e4<2827:m;4=8:p5d4=838p1<63>a581=>{t9h>1<706<58k>6?64}r3b1?6=:r7:m84:0:?2e3<512wx=l950;0x94g02<:01v3>a9864>;6il0956s|1`;94?4|58k268>4=0c1>7>52z?2ed<2827:m?4=9:p5dd=838p1<63>a381f>{t9hn1<706<58k>6?74}r3ba?6=:r7:mh4:0:?2e6<502wx=<750;0x94ga2?k01v3>ag822>;6j=0><6s|1c394?4|58h:68>4=0``>7?7>52z?2f7<2827:n>4=8:p5g5=838p1<63>b`81=>{t9k<1<706<58hi6?74}r3a3?6=:r7:n:4:0:?2fg<502wx=o650;0x94d?2<:01v3>b8864>;6j:0956s|1cc94?4|58hj68>4=0``>7>52z?2fg<2827:nn4=a:p5ge=838p1a;296~;6jm0=m63>b581<>{t9ko1<740<58i968>4}r3ab?6=:r7:nk4:0:?2gd<512wx=n>50;0x94e72<:01:3:8yv7d93:1>v3>c0864>;6k;0946s|1b194?4|58i868>4=0a;>7?52z?2g1<2827:ol4=a:p5f3=838p1<63>c881<>{t9j=1<706<58i26?74}r3`2<:01v3>c`864>;6k90956s|10`94?5|58ii6;o4=0a5>7?<58i;6?64}r3`g?6=:r7:oo4>6:?2g`<282wx=nj50;0x94ec2<:01v3>3b822>;6l:0><6s|1e294?4|58n;68>4=0fa>7?52z?2`4<2827:h?4=8:p5a4=838p1<63>d881=>{t9m?1<706<58ni6?o4}r3g2?6=:r7:h;4:0:?2`7<512wx=i950;0x94b02<:01v3>d9864>;6lh0956s|1e;94?4|58n268>4=0fa>7>52z?2`d<2827:h>4=9:p5ad=838p1d;297~;6lj0=m63>d681=>;6l80946s|1ef94?4|58nh6<84=0g2>0652z?2``<2827:i44=9:p5a`=838p1<63>e081=>{t9l81<706<58o<6?74}r3f7?6=:r7:i>4:0:?2a=<512wx=h:50;0x94c32<:01v3>e4864>;6m109m6s|1d494?4|58o=68>4=0g3>7?52z?2a2<2827:i44=8:p5`>=838p12;k0q~?j9;296~;6m00><63>dg81=>{t98o1<73g<58o:6?64}r3ff?6=:r7:il4>6:?2b4<282wx=hm50;0x94cd2<:01:3:8yv7bl3:1>v3>ee864>;6ml09m6s|1dg94?4|58on68>4=0ge>7?52z?2ac<2827:j=4=8:p5c6=838p1<63>ed81=>{t9o91<706<58l26?74}r3e0?6=:r7:j94:0:?2aa<502wx=k;50;0x94`22<:013:1>v3>f7864>;6mm09m6s|1g594?4|58l<68>4=0gg>7d52z?2b=<2827:j=4=9:p5c?=838p1f;296~;6nh0=m63>eg81<>{t9oh1<740<5;::68>4}r3eg?6=:r7:jn4:0:?144<502wx=kj50;0x94`c2<:01v3>fd864>;6no0956s|1gd94?4|58lm68>4=323>7>52z?145<28279<<4=9:p654=838p1?>=:42894`b2;30q~<63=0881=>{t:9>1<706<58lo6?64}r031?6=:r79<84:0:?2ba<512wx>=850;0x97612<:01v3=06864>;6nm09n6s|21:94?4|5;:368>4=323>7?52z?14<<2827:jh4=8:p576=838p1?>n:7c894`a2;20q~{t:9i1<706<5;;:6?64}r03`?6=:r79=k50;0x976b2<:01?>i:3;8yv47n3:1>v3=0g864>;5990946s|20294?4|5;;;68>4=332>7?7>52z?157<282792;30q~<>4;296~;59=0><63=0e81<>{t:8?1<706<5;:o6?74}r022?6=:r79=;4:0:?14a<5i2wx><950;0x97702<:01?>k:3`8yv4603:1>v3=19864>;5990956s|20;94?4|5;;268>4=32f>7>52z?15d<1i279c;296~;59j0><63=2081<>{t:8n1<706<5;;n6?o4}r02a?6=:r79=h4:0:?15c<512wx>v3=21864>;5:80956s|23094?4|5;8968>4=33f>7?52z?166<28279>44=9:p672=838p1?<;:428977c2;20q~<=5;296~;5:<0><63=1e81=>{t:;<1<706<5;;o6?o4}r013?6=:r79>:4:0:?15a<5j2wx>?650;0x974?2<:01?v3=28864>;59l0946s|13094?5|5;8j6;o4=33e>7><5:l1>:5rs30a>5<5s489m7?9;<005?373ty9>n4?:3y>67e==916>>?5299~w74c2909w0<=d;73?845m38j7p}=2d83>7}::;o19=5223d96<=z{;8m6=4={<01b?373488<7<7;|q175<72;q6>>>5519>667=:01v?==:181844:3?;70<=e;0:?xu5;:0;6?u2221915=:::31>45rs317>5<5s48887;?;<01`?4?3ty9?84?:3y>663==916>?j5289~w7512909w0<<6;73?845l38j7p}=3683>7}:::=19=5223f96g=z{;936=4={<00>75519>67c=:11v<<<:180844i3{t::i1<706<5;>:6?64}r00`?6=:r79?i4:0:?17`<5i2wx>>k50;0x975b2<:01?=i:3;8yv44n3:1>v3=3g864>;5<90946s|25294?4|5;>;68>4=362>7?7>52z?107<28279?h4=9:p615=838p1?:<:428972>2;30q~<;4;296~;5<=0><63=3e81<>{t:=?1<706<5;9o6?74}r072?6=:r798;4:0:?17a<5i2wx>9950;0x97202<:01?=k:3`8yv4303:1>v3=49864>;5<90956s|25;94?4|5;>268>4=31f>7>53z?10d<1i279?k4=8:?75?403ty98o4?:3y>61g=9?16>8?5519~w72d2909w0<;c;73?84293837p}=4e83>7}::=n19=5225g96d=z{;>n6=4={<07a?37348?j7<6;|q10c<72;q6>9h5519>606=:11v?;?:18184283?;70<:1;0:?xu5=;0;6?u2240915=::=o1>45rs370>5<5s48>?7;?;<06=?4>3ty9994?:3y>602==916>9j5299~w7322909w0<:5;73?843l3827p}=5783>7}::<<19=5225f96d=z{;?<6=4={<063?37348?h7865519>606=:01v?;6:18184213?;70<;e;0;?xu5=h0;68u224d92d=::>o1=552270962=::191>45215796==z{;<;6=4={<06b?71348=?7;?;|q124<72;q6>;?5519>635=:11v?8=:181841:3?;70<93;0:?xu5>=0;6;u224d954=::>k1=<52264954=::>81=<5227g954=::?n19=5rs346>5<5s48=97;?;<05e?4?3ty9:;4?:3y>630==916>;o5289~w7002909w0<97;73?841j3837p}=6983>7}::?219=5227`96d=z{;<26=4={<05=?37348=h7;o5519>63e=:11v?8m:181841j3?;70<9c;0:?xu5>j0;6?u227a915=::?n1>55rs37a>5<3s48=i78n;<04a?7>3483?7<7;<371?4>3ty9:k4?:3y>63c=9?16>:?5519~w7172909w0<80;73?84093827p}=5b83>1}::>81:l5226d95<=::1?1>55215796d=z{;=86=4={<046?71348<97;?;|q131<72;q6>::5519>623=:01v?;k:187840>30;6?u2264953=::>319=5rs35;>5<5s48<47;?;<04=?4>3ty99h4?:2y>62g=>h16>5?5189>513=:j1v?9m:181840i3;=70<8d;73?xu5?j0;6?u226a915=::>n1>45rs3:1>5<4s485>5199>6=3==916>595289~w7>12909w0<71;3;?84??3?;7p}=8983>7}::>o1:n5226396==z{;226=4={<04b?0d348<97<7;|q15>56b9>62?=:11v?6m:18184?9321>:52266962=::>:1>:52273962=::0819=5rs3:g>5<5s483h7;?;<0:6?4>3ty94h4?:3y>6=c==916>4?5299~w7>a2909w0<7f;73?84>93827p}=9183>7}::0:19=5228096d=z{;3:6=4={<0:5?373482>7<7;|q1=6<728ip1?7;:7c894242;201?6k:3;897gb2;=014>5269>63b=:016=?m5269>5a3=:>16=n:5269>51`=:>16=;75269>52?=:>16=h=5269>5=?=:>16=k:5269>516>=:5269>5d?=:>16><:5269>5g0=:>16>?:5269>662=:>16>9:5269>602=:>16=8m5299>6d7=:>16=>l5289>00<5?2wx>4;50;0x97?328;01?76:428yv4>>3:1>v3=97864>;5100956s|28594?4|5;3<68>4=3;:>7>52z?1==<28279544=a:p6b2;2016:3:894gb2;201??6:3:894df2;201?<6:3:8975>2;201?:6:3:8973>2;201<;m:3:897?c2;301?o<:35894532;30q~<6c;296~;51k0:=63=9g864>{t:0n1<706<5;k<6?64}r0:a?6=:r795h4:0:?1=c<502wx>l>50;0x97g72<:01?o::3:8yv4f93:1>v3=a0864>;5i<0956s|2`094?4|5;k968>4=3c5>7>52z?1e6<28279m;4=9:p6d2=838p1?o;:42897?a2;k0q~<63=a681=>{t:h<1<706<5;3n6?74}r0b3?6=:r79m:4:0:?1=`<502wx>l650;3g84f13:3;897452;301?==:3;897252;301?;=:3;894302;301?7k:3:897g52;=01<=;:3:8910=:>1v?on:18184f13;:70:5rs3cg>5<5s48jh7;?;<0a5?4>3ty9mh4?:3y>6dc==916>o>5289~w7ga2909w07}::k:19=522c396==z{;h96=4={<0bf?40348in7;?;|q1f6<72;q6>o=5519>6g>=:01v?l;:18184e<3?;70l5rs3`5>5<5s48i:7;?;<0ae?4?3ty9n:4?:3y>6g1==916>oo5289~w7d?2909w07}::k319=522c`96d=z{;hj6=4={<0ae?37348in75F648276290:wE9<;H46>4}52tP9>7?t488~ykg?:3:1=vF83:K51?7|:3wQ>?4>{5;9yxhf0:0;6x{ii1>1<7?tH618M33=9r81qW<=:0y7=?{zfh2>6=4>{I50?L0228q96pT=2;3x0<51zJ47>O1=3;p>7sU23821?=utdj4:4?:0gxL2551zJ47>O1=3;p>7sU23821?=utdj444?:0yK36=N><0:w<4rZ3095~2>2twem5o50;1;M143tdj4o4?:3yK36=zfh2h6=4<{I50?xhf0m0;6?uG729~jd>b290:wE9<;|lb5rn`;3>5<6sA=87p`n9083>4}O?:1vbl7=:182M143tdj5>4?:0yK36=zfh3?6=4>{I50?xhf1<0;65rn`;;>5<4sA=87p`n9883>4}O?:1vbl7n:182M143tdj5o4?:5yK36=zfh3h6=4;{I50?xhf1m0;6>uG729~jd?b290:wE9<;|lb=c<72=qC;>5rn`c3>5<4sA=87p`na083>4}O?:1vblo=:187M143tdjm>4?:2yK36=zfhk?6=4>{I50?xhfi<0;6>uG729~jdg1290:wE9<;|lbe2<72=qC;>5rn`c;>5<4sA=87p`na883>4}O?:1vblon:187M143tdjmo4?:2yK36=zfhkh6=4>{I50?xhfim0;69uG729~jdgb2908wE9<;|lbec<728qC;>5rn``3>5<3sA=87p`nb083>6}O?:1vbll=:182M143tdjn>4?:5yK36=zfhh?6=4<{I50?xhfj<0;65rn``;>5<6sA=87p`nb883>1}O?:1vblln:180M143tdjno4?:0yK36=zfhhh6=4;{I50?xhfjm0;6>uG729~jddb290:wE9<;|lbfc<72;qC;>5rn`a3>5<5sA=87p`nc083>7}O?:1vblm=:181M143tdjo>4?:3yK36=zfhi?6=4={I50?xhfk<0;6?uG729~jde12909wE9<;|lbg2<72;qC;>5rn`a;>5<5sA=87p`nc883>7}O?:1vblmn:181M143tdjoo4?:3yK36=zfhih6=4={I50?xhfkm0;6?uG729~jdeb2909wE9<;|lbgc<72;qC;>5rn`f3>5<5sA=87p`nd083>7}O?:1vblj=:181M143tdjh>4?:3yK36=zfhn?6=4={I50?xhfl<0;6?uG729~jdb1290:wE9<;|lb`2<728qC;>5rn`f;>5<5sA=87p`nd883>7}O?:1vbljn:182M143tdjho4?:3yK36=zfhnh6=4={I50?xhflm0;65rn`g3>5<6sA=87p`ne083>4}O?:1vblk=:182M143tdji>4?:0yK36=zfho?6=4>{I50?xhfm<0;65rn`g;>5<0sA=87p`ne883>4}O?:1vblkn:182M143tdjio4?:0yK36=zfhoh6=4>{I50?xhfmm0;65rn`d3>5<5sA=87p`nf083>7}O?:1vblh=:180M143tdjj>4?:0yK36=zfhl?6=4>{I50?xhfn<0;6?uG729~jd`1290:wE9<;|lbb2<72;qC;>5rn`d;>5<6sA=87p`nf883>4}O?:1vblhn:182M143tdjjo4?:0yK36=zfhlh6=4>{I50?xhfnm0;65rnc23>5<6sA=87p`m0083>4}O?:1vbo>=:182M143tdi<>4?:0yK36=zfk:?6=4>{I50?xhe8<0;6huG729~jg61290:wE9<;|la42<728qC;>5rnc2;>5<6sA=87p`m0883>4}O?:1vbo>n:182M143tdi{I50?xhe8m0;65rnc33>5<6sA=87p`m1083>4}O?:1vbo?=:182M143tdi=>4?:0yK36=zfk;?6=4>{I50?xhe9<0;65rnc3;>5<6sA=87p`m1883>4}O?:1vbo?n:182M143tdi=o4?:0yK36=zfk;h6=4>{I50?xhe9m0;6?uG729~jg7b2909wE9<;|la5c<728qC;>5rnc03>5<6sA=87p`m2083>4}O?:1vbo<=:182M143tdi>>4?:0yK36=zfk8?6=4>{I50?xhe:<0;65rnc0;>5<6sA=87p`m2883>4}O?:1vboo4?:0yK36=zfk8h6=4={I50?xhe:m0;6?uG729~jg4b290:wE9<;|la6c<728qC;>5rnc13>5<6sA=87p`m3083>4}O?:1vbo==:182M143tdi?>4?:0yK36=zfk9?6=4>{I50?xhe;<0;65rnc1;>5<6sA=87p`m3883>4}O?:1vbo=n:182M143tdi?o4?:3yK36=zfk9h6=4={I50?xhe;m0;65rnc63>5<6sA=87p`m4083>4}O?:1vbo:=:182M143tdi8>4?:0yK36=zfk>?6=4>{I50?xhe<<0;65rnc6;>5<6sA=87p`m4883>4}O?:1vbo:n:181M143tdi8o4?:3yK36=zfk>h6=4>{I50?xhe5rnc73>5<6sA=87p`m5083>4}O?:1vbo;=:182M143tdi9>4?:0yK36=zfk??6=4>{I50?xhe=<0;65rnc7;>5<6sA=87p`m5883>7}O?:1vbo;n:181M143tdi9o4?:0yK36=zfk?h6=4>{I50?xhe=m0;65rnc43>5<6sA=87p`m6083>4}O?:1vbo8=:182M143tdi:>4?:0yK36=zfk{I50?xhe><0;65rnc4;>5<6sA=87p`m6883>4}O?:1vbo8n:182M143tdi:o4?:0yK36=zfk{I50?xhe>m0;65rnc53>5<6sA=87p`m7083>4}O?:1vbo9=:182M143tdi;>4?:3yK36=zfk=?6=4={I50?xhe?<0;65rnc5;>5<6sA=87p`m7883>4}O?:1vbo9n:182M143tdi;o4?:0yK36=zfk=h6=4>{I50?xhe?m0;65rnc:3>5<6sA=87p`m8083>4}O?:1vbo6=:181M143tdi4>4?:3yK36=zfk2?6=4>{I50?xhe0<0;61290:wE9<;|la<2<728qC;>5rnc:;>5<6sA=87p`m8883>4}O?:1vbo6n:182M143tdi4o4?:0yK36=zfk2h6=4>{I50?xhe0m0;6b290:wE9<;|la5rnc;3>5<5sA=87p`m9083>4}O?:1vbo7=:182M143tdi5>4?:0yK36=zfk3?6=4>{I50?xhe1<0;65rnc;;>5<6sA=87p`m9883>4}O?:1vbo7n:182M143tdi5o4?:0yK36=zfk3h6=4>{I50?xhe1m0;65rncc3>5<6sA=87p`ma083>4}O?:1vboo=:182M143tdim>4?:0yK36=zfkk?6=4>{I50?xhei<0;65rncc;>5<6sA=87p`ma883>4}O?:1vboon:182M143tdimo4?:0yK36=zfkkh6=4>{I50?xheim0;6?uG729~jggb2909wE9<;|laec<728qC;>5rnc`3>5<6sA=87p`mb083>4}O?:1vbol=:182M143tdin>4?:0yK36=zfkh?6=4>{I50?xhej<0;65rnc`;>5<6sA=87p`mb883>4}O?:1vboln:182M143tdino4?:0yK36=zfkhh6=4={I50?xhejm0;6?uG729~jgdb290:wE9<;|lafc<728qC;>5rnca3>5<6sA=87p`mc083>4}O?:1vbom=:182M143tdio>4?:0yK36=zfki?6=4>{I50?xhek<0;65rnca;>5<6sA=87p`mc883>4}O?:1vbomn:182M143tdioo4?:3yK36=zfkih6=4={I50?xhekm0;65rncf3>5<6sA=87p`md083>4}O?:1vboj=:182M143tdih>4?:0yK36=zfkn?6=4>{I50?xhel<0;65rncf;>5<6sA=87p`md883>4}O?:1vbojn:181M143tdiho4?:3yK36=zfknh6=4>{I50?xhelm0;65rncg3>5<6sA=87p`me083>4}O?:1vbok=:182M143tdii>4?:0yK36=zfko?6=4>{I50?xhem<0;65rncg;>5<6sA=87p`me883>7}O?:1vbokn:181M143tdiio4?:0yK36=zfkoh6=4>{I50?xhemm0;65rncd3>5<6sA=87p`mf083>4}O?:1vboh=:182M143tdij>4?:0yK36=zfkl?6=4>{I50?xhen<0;65rncd;>5<4sA=87p`mf883>7}O?:1vbohn:182M143tdijo4?:0yK36=zfklh6=49{I50?xhenm0;65rnb23>5<6sA=87p`l0083>4}O?:1vbn>=:182M143tdh<>4?:0yK36=zfj:?6=4>{I50?xhd8<0;6>uG729~jf612909wE9<;|l`42<728qC;>5rnb2;>5<4sA=87p`l0883>7}O?:1vbn>n:182M143tdh5rnb33>5<6sA=87p`l1083>7}O?:1vbn?=:181M143tdh=>4?:3yK36=zfj;?6=4={I50?xhd9<0;6?uG729~jf712909wE9<;|l`52<72;qC;>5rnb3;>5<6sA=87p`l1883>4}O?:1vbn?n:182M143tdh=o4?:0yK36=zfj;h6=4>{I50?xhd9m0;65rnb03>5<6sA=87p`l2083>4}O?:1vbn<=:182M143tdh>>4?:3yK36=zfj8?6=4={I50?xhd:<0;65rnb0;>5<6sA=87p`l2883>4}O?:1vbno4?:0yK36=zfj8h6=4>{I50?xhd:m0;65rnb13>5<5sA=87p`l3083>4}O?:1vbn==:182M143tdh?>4?:0yK36=zfj9?6=4>{I50?xhd;<0;65rnb1;>5<6sA=87p`l3883>4}O?:1vbn=n:182M143tdh?o4?:0yK36=zfj9h6=4>{I50?xhd;m0;64}zfhk1<7?t}o`e>5<6stdo87>51zma<<728qvbkk50;3xyk76;3:1=vsa13:94?7|ug;8h7>51zm504=83;pqc?97;295~{i9>i1<7?t}o3:5?6=9rwe=l850;3xyk7ej3:1=vsa1e294?7|ug;n97>51zm5cg=83;pqc9j50;1xyk43?3:1=vsa27a94?5|ug8=:7>51zm6=d=839pqc<75;295~{i:hk1<7=t}o0b0?6=9rwe>n750;1xyk4d;3:1=vsa2d:94?5|ug8n>7>51zm751=839pqc=?1;295~{i;;<1<7=t}o114?6=9rwe?9;50;1xyk54n3:1=vsa37694?5|ug9>i7>51zm7=5=839pqc=8d;295~{i;h81<7=t}o1:g?6=9rwe?o950;3xyk5dk3:1=vsa3d394?7|ug9m:7>51zm05d=83;pqpsr@AAxa6>==o3>9:m?}ABA5{GHYqvLM \ No newline at end of file diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.ngr b/60hz_Divider/code/xilinx/cpld_countertest10/counta.ngr new file mode 100644 index 0000000..e1fd96a --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.ngr @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$2:;6`<'aefi"jjv.Ffwnrhx&idhh!wimkm|*`ndl%h|ajPcnwmp`tsmz~2#naznuc-rmb639;0=?5>039257=6:h1:?7J31383:`=6;3NjxlO31383:46<9:0BB][[:E>26?699=1:?7GAPTV9@drfI5;96=0>1:30>JSSX\^1]1?=:1<22>742F__\XZ5Rdqvhq:6:3:5m6?<:P>26?69n2;86_k|umv?57<768;0=>4Paef3456;9;0;2<<4128`hneh}g~j0<<50?37?4?={}eyinaznuc?5=<76819=6=>;5381==22M6?6=0l;48GeqgF4=0;2h5::HLSQQ3=G\^[YY4]erwop92=872097_34;2=`>3=Zly~`y2;:134;2=a>3=}hxoy|34;2=5>063>;04l57:MGG82<768;047AZTQWW>ICC4>0;2<564:CM@70:6OAD4@12>GIL5LLS;8GJKJA]^NH:5LRDCWAA57NbdEo38@1=C494>7I2>0?78@9766>1O0<<50?78@9756=1O0<0;;E>1:1=C4:4=7I2;:1<7?A:36=1O080;;E>5:1=C4>4?7I27>59G8<803Mkm1>17:Fbpd:66<1OmyoN8:FbpdG;8730HlznA=33:<=Ci}kJ0546Jnt`C?1;>Bf|hK753<4FE68BAEB92M87J@K4:JYMK72FNH1>16:NF@979>2FNH1<16:NF@959>2FNH1:16:NF@939>2FNH1818:NF@91=87<0@HJ37?58HJANKHFo7A^B_@FG[VSEW8n0@]CPAEF\WPDX:m1G\@QLOTLWAWY6l2F[ARM@UOVFVZ4?3EZFSIHI_89OTHYCNOU:m6B_M^FEBZ77i2F[ARJIF^32e>JWEVNMJR?=a:NSIZBANV;8m6B_M^FEBZ73i2F[ARJIF^36e>JWEVNMJR?9a:NSIZBANV;JWEVNMJRj7A^B_EDE[73f3EZFSIHI_34b?IVJWMLMS?9n;MRN[A@AW;2j7A^B_EDE[7?>3EZFSIHI_2c8HUKXLOLT?=o4LQO\@C@X;8k0@]CPDGD\77g56B_M^FEBZ0>3EZFSIHI_6;8HUKXLOLT445CPL]GBCY>i2F[ARV@R3]2`>KflmUTmij?012e?H~hzVXnxb{<02=b>Kg{UYi~{ct=32:c=JpfxT^h}zlu>26;cIU;<1D^>L>3:MQ00=HZ=H:96A]4C16?JT2J:i0\#0]1>15:S?55823X6:=394Q=31>5823X6:>3:4Q=3=0>W;:7>0]1=16:S?0?69<2[783:4Q=7=0>W;>7>0]1914:S?<;2Tb{|f0<>1a:Pfwpjs48;5o6\jstnw844=87k0^h}zlu>26;?>89Qavsk|58556\jstnw868e3[oxyaz34;2==>Tb{|f0906;Sgpqir;=730^h}zlu>5:<=Umzgx1919:Pfwpjs41427_k|umv?=;413[oxyazP0^llp5678Vcf|R\jstnw[5Yig}:;<=?m;RKDFPUXAGLDm6]FG^@VWLB_j2YBKRLZSOCNA1=Tkex?7^}jt39W\1=SQYO27[GJW^VZT@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML1^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo78\j:76?1Sc1>1179[k969:?1Sc1>1389[k969W`g{?6V|t89[wqAJgmogn6Qnde2345:76j1Tmij?012?558d3Vkoh=>?0=32:`=Ximn;<=>31383:f=Ximn;<=>313?<4?0048[dhc89:;Sdc_^cm`5678820Sl`k012253=Xign;<=?Pilr\[dhc89::=55Paof34546>2Ujbi>?03]jiuYXign;<=<>8:]bja678:;=7Road1237ZojxVUjbi>?023;?Zgil9:;8<84_`lg4563W`g{SRoad12304>:179\ekb789?Te`~P_`lg4562911Tmcj?01422>Yffm:;<;Qfmq]\ekb789<:46Qnne2342713Vkeh=>?7^kntZYffm:;<:?7;^cm`56708<0Sl`k012;[lkwWVkeh=>?80:8[dhc89:2=;5Paof345?XadzTSl`k012:5==Xign;<<>>6:]bja6799Uba}QPaof34466?2Ud~=>?0068[jt789:Te`~P_np34566?2Ud~=>?1068[jt789;Te`~P_np34576=2kohh|>0:cov`gcqz~d~hjlnu31?djumhnrya}eeampZ66i2kg~hokyrvlv`bdf}U;Sca{01227d=fd{ojht}{osgggkrX8Vddx=>?1^kntZgkzlkou~z`rdf`jqY7Wge<=>>10;8eitbimsxxb|jdblw[5Yhz9:;<Pos234546i2kg~hokyrvlv`bdf}U;Sb|?01205a=fd{ojht}{osgggkrX8Vey<=>?_hos=>ekcje~byol;bnhgjsi|h6;2i5lljalqkrf48:5h6mckbmvjqg;984m7nbdcnwmpd:6:3:5h6mckbmvjqg;9;4h7nbdcnwmpd:66j1h`fm`uovb878d3jf`ob{at`>0:f=ddbidyczn<5<`?fjlkfexl2:>b9`hneh}g~j0;0l;bnhgjsi|h6<2n5lljalqkrf414h7nbdcnwmpd:>68;0oaelotlweZgil9:;?0^kntZekcje~byoPaof34566>2idyczn7:alqkrbz:1ojk74dhpfgqohf>1{caQllj;8tjjXkeanb55om]pgit?3yegS~}jt99skwrXkeaj7}a}t^aoo`h>3yeyxRjnt`:8tjtsWfzj:6~`ru]rf>vhz}Uyi~{ct89skwrX{jfy56~`ru]pw`r63{20~h}jtbnhe>tb{l~h`fQ?139qavcskeaT?00:8vqjX|pznj6}`usaf[cokmgkfii5|otp`aZjnfldij6}{osg`kphsi5;82k5|tnpfgjsi|h6:83h4sumqafirf}k7=80i;rvlv`eh}g~j0<81f:qwkwcdg|dm1?8>038wqiumje~byo31983:c=t|fxnob{at`>2<;c<{}eyinaznuc?5;c<{}eyinaznuc?6;c<{}eyinaznuc?7;c<{}eyinaznuc?0;c<{}eyinaznuc?1;c<{}eyinaznuc?2;b<{}eyinaznuc\443<{}eyinaznuc\4Zgil9:;<>>4sumqafirf}kT>149ppjtbkfexlQ?_`lg4566;91xxb|jcnwmpdY7Whdo<=>>_hos[vrhzlidyczn_1]bja6788;:96}{osg`kphsiV:Taxv?01222>usg{ohcx`{a^2\ip~789::=55|tnpfgjsi|hU;S`{w01235576?2ycklotlweZ6Xe|r;<=>>10:8wqiumje~byoP0^ov|56788;:=55|tnpfgjsi|hU;S`{w0123577602ycklotlweZ6Xe|r;<=>>3034?vrhzlidyczn_1]nq}6789;?=:5|tnpfgjsi|hU;S`{w012350713z~d~hm`uovb[5Yj}q:;<=<>7:qwkwcdg|dmR>Pmtz3456598<0ya}ebmvjqgX8Vg~t=>?0234?vrhzlidyczn_1]nq}67899:=;5|tnpfgjsi|hU;S`{w0123041<{}eyinaznuc\4Zkrp9:;<9?>7:qwkwcdg|dmR>Pmtz3456298=0ya}ebmvjqgX8Vg~t=>?07323>usg{ohcx`{a^2\ip~789:<=<94sumqafirf}kT610;8wqiumje~byoP0^ov|5678Vcf|<;4sumqafirf}kTPnnv345769=1xxb|jcnwmpdY7Wfx;<=>=e:qwkwcdg|dmR>Pos2345YneyUxxb|jcnwmpdY7Wfx;<=>>159ppjtbkfexlQ?_np34575m2ycklotlweZ6Xg{:;<2ycklotlweZ77Whdo<=>?339ppjtbkfexlQ>0^cm`5678Vcf|R}{osg`kphsiV;;Sl`k0123540<{}eyinaznuc\55Yffm:;<<==;rvlv`eh}g~jS<>Paof3457XadzTya}ebmvjqgX99Ujbi>?00322>usg{ohcx`{a^33[dhc89:9??5|tnpfgjsi|hU:=1048wqiumje~byoP11]bja678:997~z`rdalqkrfW8:Tmcj?011\mhvX{}eyinaznuc\55Yffm:;<>?>6:qwkwcdg|dmR??_`lg4563;;1xxb|jcnwmpdY68Vkeh=>?4^kntZusg{ohcx`{a^33[dhc89:?=<84sumqafirf}kT==Qnne2340553z~d~hm`uovb[46Xign;<=;Pilr\wqiumje~byoP11]bja678<;::6}{osg`kphsiV;;Sl`k012577=t|fxnob{at`]24Zgil9:;:Rgbp^qwkwcdg|dmR??_`lg456198<0ya}ebmvjqgX99Ujbi>?0611?vrhzlidyczn_02\ekb789=Te`~Psumqafirf}kT==Qnne234276>2ycklotlweZ77Whdo<=>7339ppjtbkfexlQ>0^cm`5670Vcf|R}{osg`kphsiV;;Sl`k012;54?<{}eyinaznuc\55YdeyUn}=>?02:8wqiumje~byoP11]`iuYby9:;9:qwkwcdg|dmR??_lw{456799;:46}{osg`kphsiV;;S`{w0123547>3z~d~hm`uovb[46Xe|r;<=>>103:?vrhzlidyczn_02\ip~789::>Pmtz34566;8;27~z`rdalqkrfW8:Taxv?0122047>3z~d~hm`uovb[46Xe|r;<=>>503:?vrhzlidyczn_02\ip~789:::Pmtz34566?820ya}ebmvjqgX99Ufyu>?013;52=t|fxnob{at`]24Zkrp9:;Pmtz3456598=0ya}ebmvjqgX99Ufyu>?0112<>usg{ohcx`{a^33[hs89:;?Pmtz34563911xxb|jcnwmpdY68Vg~t=>?05323>usg{ohcx`{a^33[hs89:;9<64sumqafirf}kT==Qbuy234536911xxb|jcnwmpdY68Vg~t=>?0732<>usg{ohcx`{a^33[hs89:;;Pmtz3456?9820ya}ebmvjqgX99Ufyu>?01;25d=t|fxnob{at`]24Zkrp9:;5:qwkwcdg|dmR??_np3456482ycklotlweZ77Wfx;<=>Pilr\wqiumje~byoP11]lv56788;>7~z`rdalqkrfW8:Tc>?0013?vrhzlidyczn_02\kw6788Uba}Q|tnpfgjsi|hU:>>4sumqafirf}kT==Q`r1236ZojxVycklotlweZ77Wfx;<=<>149ppjtbkfexlQ>0^mq4564;91xxb|jcnwmpdY68Vey<=><_hos[vrhzlidyczn_02\kw678:;n7~z`rdalqkrfW8;::6}{osg`kphsiV;:S`{w012352=t|fxnob{at`]25Zkrp9:;<10;8wqiumje~byoP10]nq}6789;9=<74sumqafirf}kT=?013754?<{}eyinaznuc\54Yj}q:;<=?:10;8wqiumje~byoP10]nq}6789;==<64sumqafirf}kT=?00:23>usg{ohcx`{a^32[hs89:;><64sumqafirf}kT=1xxb|jcnwmpdY69Vg~t=>?023;?vrhzlidyczn_03\ip~789:8=<94sumqafirf}kT=?4034?vrhzlidyczn_03\ip~789:>=55|tnpfgjsi|hU:=Rczx123407602ycklotlweZ76Wds<=>?603;?vrhzlidyczn_03\ip~789:<=<64sumqafirf}kT=6911xxb|jcnwmpdY69Vg~t=>?0832e>usg{ohcx`{a^32[hs89:;Sdce:qwkwcdg|dmR?=179ppjtbkfexlQ>2^ov|56788=0ya}ebmvjqgX9;Ufyu>?0132=>usg{ohcx`{a^31[hs89:;==?>8:qwkwcdg|dmR?=_lw{456798;27~z`rdalqkrfW88Taxv?0122547>3z~d~hm`uovb[44Xe|r;<=>>203:?vrhzlidyczn_00\ip~789::?3z~d~hm`uovb[44Xe|r;<=>>603;?vrhzlidyczn_00\ip~789::;<64sumqafirf}kT=?Qbuy23457?9>1xxb|jcnwmpdY6:Vg~t=>?033;?vrhzlidyczn_00\ip~789:9=<94sumqafirf}kT=?Qbuy23455602ycklotlweZ75Wds<=>?3034?vrhzlidyczn_00\ip~789:?=55|tnpfgjsi|hU:>Rczx1234176?2ycklotlweZ75Wds<=>?50:8wqiumje~byoP13]nq}6789?:=55|tnpfgjsi|hU:>Rczx123437602ycklotlweZ75Wds<=>?703;?vrhzlidyczn_00\ip~789:3=<64sumqafirf}kT=?Qbuy2345?69h1xxb|jcnwmpdY6:Vg~t=>?0^knt`=t|fxnob{at`]2740<{}eyinaznuc\56Yj}q:;<=?8;rvlv`eh}g~jS<=Pmtz34566901xxb|jcnwmpdY6;Vg~t=>?00225==t|fxnob{at`]27Zkrp9:;<9:qwkwcdg|dmR?<_lw{456798;:56}{osg`kphsiV;8S`{w0123577612ycklotlweZ74Wds<=>?1232=>usg{ohcx`{a^30[hs89:;=9?>9:qwkwcdg|dmR?<_lw{45679<;:56}{osg`kphsiV;8S`{w0123537602ycklotlweZ74Wds<=>?163;?vrhzlidyczn_01\ip~789::4<94sumqafirf}kT=>Qbuy23454602ycklotlweZ74Wds<=>?2034?vrhzlidyczn_01\ip~789:8=55|tnpfgjsi|hU:?Rczx1234676?2ycklotlweZ74Wds<=>?40:8wqiumje~byoP12]nq}6789>:=:5|tnpfgjsi|hU:?Rczx123407?3z~d~hm`uovb[45Xe|r;<=>:10:8wqiumje~byoP12]nq}6789<:=55|tnpfgjsi|hU:?Rczx123427602ycklotlweZ74Wds<=>?803;?vrhzlidyczn_01\ip~789:2=Qbuy2345Yneyo0ya}ebmvjqgX9=;=7~z`rdalqkrfW8>Taxv?01223>usg{ohcx`{a^37[hs89:;=<74sumqafirf}kT=9Qbuy2345779820ya}ebmvjqgX9=Ufyu>?01325<=t|fxnob{at`]20Zkrp9:;<189ppjtbkfexlQ>4^ov|567888:=45|tnpfgjsi|hU:8Rczx1234456901xxb|jcnwmpdY6?00625<=t|fxnob{at`]20Zkrp9:;<<;>189ppjtbkfexlQ>4^ov|56788<:=55|tnpfgjsi|hU:8Rczx123441602ycklotlweZ73Wds<=>?1934?vrhzlidyczn_06\ip~789:9=55|tnpfgjsi|hU:8Rczx1234776?2ycklotlweZ73Wds<=>?30:8wqiumje~byoP15]nq}67899:=:5|tnpfgjsi|hU:8Rczx123417?3z~d~hm`uovb[42Xe|r;<=>;1058wqiumje~byoP15]nq}6789?:46}{osg`kphsiV;?S`{w0123147?3z~d~hm`uovb[42Xe|r;<=>910:8wqiumje~byoP15]nq}6789=:=55|tnpfgjsi|hU:8Rczx1234=7602ycklotlweZ73Wds<=>?903b?vrhzlidyczn_06\ip~789:Te`~j;rvlv`eh}g~jS<;>6:qwkwcdg|dmR?:_lw{45679>1xxb|jcnwmpdY6=Vg~t=>?003:?vrhzlidyczn_07\ip~789::<?013254?<{}eyinaznuc\50Yj}q:;<=?=10;8wqiumje~byoP14]nq}6789;8=<74sumqafirf}kT=8Qbuy2345739830ya}ebmvjqgX9?013654?<{}eyinaznuc\50Yj}q:;<=?910:8wqiumje~byoP14]nq}6789;<=55|tnpfgjsi|hU:9Rczx12344>6?2ycklotlweZ72Wds<=>?20:8wqiumje~byoP14]nq}67898:=:5|tnpfgjsi|hU:9Rczx123467?3z~d~hm`uovb[43Xe|r;<=><1058wqiumje~byoP14]nq}6789>:46}{osg`kphsiV;>S`{w012304703z~d~hm`uovb[43Xe|r;<=>:199ppjtbkfexlQ>5^ov|5678<;:46}{osg`kphsiV;>S`{w0123247?3z~d~hm`uovb[43Xe|r;<=>810:8wqiumje~byoP14]nq}67892:=55|tnpfgjsi|hU:9Rczx1234<76i2ycklotlweZ72Wds<=>?_hosa>usg{ohcx`{a^3553=t|fxnob{at`]22Zkrp9:;<<94sumqafirf}kT=;Qbuy23457612ycklotlweZ71Wds<=>?1132<>usg{ohcx`{a^35[hs89:;=3z~d~hm`uovb[40Xe|r;<=>>303:?vrhzlidyczn_04\ip~789::8<{}eyinaznuc\53Yj}q:;<=?8169ppjtbkfexlQ>6^ov|5678;;37~z`rdalqkrfW88:qwkwcdg|dmR?9_lw{4567;8;<7~z`rdalqkrfW88:qwkwcdg|dmR?9_lw{4567=8;37~z`rdalqkrfW8<{}eyinaznuc\53Yj}q:;<=9>199ppjtbkfexlQ>6^ov|56781;:46}{osg`kphsiV;=S`{w0123=47f3z~d~hm`uovb[40Xe|r;<=>Pilrf?vrhzlidyczn_0522>usg{ohcx`{a^34[hs89:;=:5|tnpfgjsi|hU:;Rczx123447>3z~d~hm`uovb[41Xe|r;<=>>003;?vrhzlidyczn_05\ip~789::=<74sumqafirf}kT=:Qbuy2345769830ya}ebmvjqgX9>Ufyu>?013154?<{}eyinaznuc\52Yj}q:;<=?<10:8wqiumje~byoP16]nq}6789;?=55|tnpfgjsi|hU:;Rczx1234436?2ycklotlweZ70Wds<=>?20:8wqiumje~byoP16]nq}67898:=:5|tnpfgjsi|hU:;Rczx123467?3z~d~hm`uovb[41Xe|r;<=><1058wqiumje~byoP16]nq}6789>:46}{osg`kphsiV;:10:8wqiumje~byoP16]nq}6789<:=55|tnpfgjsi|hU:;Rczx123427602ycklotlweZ70Wds<=>?803;?vrhzlidyczn_05\ip~789:2=usg{ohcx`{a^3;[hs89:;=<94sumqafirf}kT=5Qbuy234546i2ycklotlweZ7?Wds<=>?_hos50=t|fxnob{at`]2[hs89:;=;5|tnpfgjsi|hU:S`{w012354><{}eyinaznuc\5Zkrp9:;<<>>169ppjtbkfexlQ>_lw{456798;37~z`rdalqkrfW8Ufyu>?013254><{}eyinaznuc\5Zkrp9:;<<<>199ppjtbkfexlQ>_lw{45679:;:;6}{osg`kphsiV;Taxv?0122041<{}eyinaznuc\5Zkrp9:;<<;>6:qwkwcdg|dmR?Pmtz345659>1xxb|jcnwmpdY6Wds<=>?2035?vrhzlidyczn_0]nq}67899:;6}{osg`kphsiV;Taxv?0120540<{}eyinaznuc\5Zkrp9:;<9?8;rvlv`eh}g~jS1xxb|jcnwmpdY6Wds<=>?5034?vrhzlidyczn_0]nq}6789<:=:5|tnpfgjsi|hU:S`{w012334703z~d~hm`uovb[4Yj}q:;<=6>169ppjtbkfexlQ>_lw{456718;27~z`rdalqkrfW8Ufyu>?01]jiub<{}eyinaznuc\643<{}eyinaznuc\6Zkrp9:;<<84sumqafirf}kT>Rczx123447?3z~d~hm`uovb[7Yj}q:;<=??1058wqiumje~byoP2^ov|56788;:46}{osg`kphsiV8Taxv?0122547?3z~d~hm`uovb[7Yj}q:;<=?=10:8wqiumje~byoP2^ov|567889:=55|tnpfgjsi|hU9S`{w0123517602ycklotlweZ4Xe|r;<=>>5034?vrhzlidyczn_3]nq}6789;==:5|tnpfgjsi|hU9S`{w012352713z~d~hm`uovb[7Yj}q:;<=<>7:qwkwcdg|dmR?0234?vrhzlidyczn_3]nq}67899:=;5|tnpfgjsi|hU9S`{w0123041<{}eyinaznuc\6Zkrp9:;<9?>7:qwkwcdg|dmR?07323>usg{ohcx`{a^0\ip~789:<=<94sumqafirf}kT>Rczx1234=76?2ycklotlweZ4Xe|r;<=>610;8wqiumje~byoP2^ov|5678Vcf|i5|tnpfgjsi|hU8=85|tnpfgjsi|hU8S`{w012353=t|fxnob{at`]0[hs89:;=<64sumqafirf}kT?Rczx12344669>1xxb|jcnwmpdY4Wds<=>?103;?vrhzlidyczn_2]nq}6789;:=<64sumqafirf}kT?Rczx1234446911xxb|jcnwmpdY4Wds<=>?1232<>usg{ohcx`{a^1\ip~789::8Qbuy2345729820ya}ebmvjqgX;Vg~t=>?004252=t|fxnob{at`]0[hs89:;=:?8;rvlv`eh}g~jS>Qbuy23457?9?1xxb|jcnwmpdY4Wds<=>?2058wqiumje~byoP3^ov|5678;;::6}{osg`kphsiV9Taxv?012052=t|fxnob{at`]0[hs89:;?Qbuy234526?2ycklotlweZ5Xe|r;<=>;1048wqiumje~byoP3^ov|5678<;<7~z`rdalqkrfW:Ufyu>?017252=t|fxnob{at`]0[hs89:;:Qbuy2345169>1xxb|jcnwmpdY4Wds<=>?8034?vrhzlidyczn_2]nq}67893:=45|tnpfgjsi|hU8S`{w0123[lkwl2ycklotlweZ26=2ycklotlweZ2Xe|r;<=>>6:qwkwcdg|dmR:Pmtz34566911xxb|jcnwmpdY3Wds<=>?11323>usg{ohcx`{a^6\ip~789::=<64sumqafirf}kT8Rczx1234476911xxb|jcnwmpdY3Wds<=>?1332<>usg{ohcx`{a^6\ip~789::??00725==t|fxnob{at`]7[hs89:;=;?>7:qwkwcdg|dmR:Pmtz34566?8=0ya}ebmvjqgX?00:22>usg{ohcx`{a^6\ip~789:9=:5|tnpfgjsi|hU?S`{w012364713z~d~hm`uovb[1Yj}q:;<==>7:qwkwcdg|dmR:Pmtz3456498<0ya}ebmvjqgX?0534?vrhzlidyczn_5]nq}6789>:=;5|tnpfgjsi|hU?S`{w0123141<{}eyinaznuc\0Zkrp9:;<8?>7:qwkwcdg|dmR:Pmtz3456198=0ya}ebmvjqgX?06323>usg{ohcx`{a^6\ip~789:3=<94sumqafirf}kT8Rczx1234<7612ycklotlweZ2Xe|r;<=>Pilrg?vrhzlidyczn_436?vrhzlidyczn_4]nq}6789;=7~z`rdalqkrfW?0132<>usg{ohcx`{a^7\ip~789::<?1032<>usg{ohcx`{a^7\ip~789::>?00625==t|fxnob{at`]6[hs89:;=8?>8:qwkwcdg|dmR;Pmtz34566>8;<7~z`rdalqkrfW?013452=t|fxnob{at`]6[hs89:;=5?9;rvlv`eh}g~jS8Qbuy234546?2ycklotlweZ3Xe|r;<=>=1048wqiumje~byoP5^ov|5678:;<7~z`rdalqkrfW?011253=t|fxnob{at`]6[hs89:;8<94sumqafirf}kT9Rczx1234176>2ycklotlweZ3Xe|r;<=>:169ppjtbkfexlQ:_lw{4567=8;<7~z`rdalqkrfW?014252=t|fxnob{at`]6[hs89:;;69>1xxb|jcnwmpdY2Wds<=>?903:?vrhzlidyczn_4]nq}6789Uba}j4sumqafirf}kT:<;4sumqafirf}kT:Rczx123440<{}eyinaznuc\2Zkrp9:;<Vg~t=>?0032<>usg{ohcx`{a^4\ip~789::=Vg~t=>?00125==t|fxnob{at`]5[hs89:;=9?>8:qwkwcdg|dmR8Pmtz34566=8;37~z`rdalqkrfW?Ufyu>?0135541<{}eyinaznuc\2Zkrp9:;<<9>7:qwkwcdg|dmR8Pmtz3456608<0ya}ebmvjqgX>Vg~t=>?0334?vrhzlidyczn_7]nq}67898:=;5|tnpfgjsi|hU=S`{w0123741<{}eyinaznuc\2Zkrp9:;<>?>6:qwkwcdg|dmR8Pmtz345639>1xxb|jcnwmpdY1Wds<=>?4035?vrhzlidyczn_7]nq}6789?:;6}{osg`kphsiV7:qwkwcdg|dmR8Pmtz3456098=0ya}ebmvjqgX>Vg~t=>?09323>usg{ohcx`{a^4\ip~789:2=<74sumqafirf}kT:Rczx1234Zojxm1xxb|jcnwmpdY09<1xxb|jcnwmpdY0Wds<=>?179ppjtbkfexlQ8_lw{45679820ya}ebmvjqgX?Vg~t=>?002252=t|fxnob{at`]4[hs89:;=?00025==t|fxnob{at`]4[hs89:;=>?>8:qwkwcdg|dmR9Pmtz34566<8;37~z`rdalqkrfW>Ufyu>?013654><{}eyinaznuc\3Zkrp9:;<<8>169ppjtbkfexlQ8_lw{45679>;<7~z`rdalqkrfW>Ufyu>?013;53=t|fxnob{at`]4[hs89:;><94sumqafirf}kT;Rczx1234776>2ycklotlweZ1Xe|r;<=><169ppjtbkfexlQ8_lw{4567;8;=7~z`rdalqkrfW>Ufyu>?01623>usg{ohcx`{a^5\ip~789:?=<84sumqafirf}kT;Rczx12340703z~d~hm`uovb[2Yj}q:;<=;>169ppjtbkfexlQ8_lw{4567>8;<7~z`rdalqkrfW>Ufyu>?015252=t|fxnob{at`]4[hs89:;4?_hos`>usg{ohcx`{a^:21>usg{ohcx`{a^:\ip~789:::6}{osg`kphsiV2Taxv?01225==t|fxnob{at`];[hs89:;==?>7:qwkwcdg|dmR6Pmtz345669820ya}ebmvjqgX0Vg~t=>?00325==t|fxnob{at`];[hs89:;=??>8:qwkwcdg|dmR6Pmtz34566;8;37~z`rdalqkrfW1Ufyu>?013754><{}eyinaznuc\199ppjtbkfexlQ7_lw{45679?;:;6}{osg`kphsiV2Taxv?0122341<{}eyinaznuc\6:qwkwcdg|dmR6Pmtz345659>1xxb|jcnwmpdY?Wds<=>?2035?vrhzlidyczn_9]nq}67899:;6}{osg`kphsiV2Taxv?0120540<{}eyinaznuc\?5058wqiumje~byoP8^ov|5678<;:;6}{osg`kphsiV2Taxv?0125541<{}eyinaznuc\7:qwkwcdg|dmR6Pmtz3456?98=0ya}ebmvjqgX0Vg~t=>?0832=>usg{ohcx`{a^:\ip~789:Te`~k;rvlv`eh}g~jS4?:;rvlv`eh}g~jS4Qbuy2345713z~d~hm`uovb[8:qwkwcdg|dmR7Pmtz3456688;<7~z`rdalqkrfW0Ufyu>?01325==t|fxnob{at`]:[hs89:;=8:qwkwcdg|dmR7Pmtz34566:8;37~z`rdalqkrfW0Ufyu>?013054><{}eyinaznuc\=Zkrp9:;<<:>199ppjtbkfexlQ6_lw{45679<;:46}{osg`kphsiV3Taxv?012224703z~d~hm`uovb[?01023>usg{ohcx`{a^;\ip~789:9=<84sumqafirf}kT5Rczx12346703z~d~hm`uovb[179ppjtbkfexlQ6_lw{4567<8=0ya}ebmvjqgX1Vg~t=>?05322>usg{ohcx`{a^;\ip~789:>=:5|tnpfgjsi|hU2S`{w012314703z~d~hm`uovb[169ppjtbkfexlQ6_lw{4567?8;<7~z`rdalqkrfW0Ufyu>?01:252=t|fxnob{at`]:[hs89:;5`9vewrd|{6?2k5zasv`pwYffm:;<=<;;tcqpfruWhdo<=>?_hos[pgu|j~ySl`k01235c=ri{~hxQaou2344433|kyxnz}_omw4566W`g{Sxo}tbvq[kis89::=h5zasv`pwYhz9:;?00;8qdtsff}T<?03:8qdtsff}T>299vewrig~U;Sca{0122[lkwW|kyxcax_1]mkq6788;:<6{nrullsZ6Xg{:;<=<9;tcqpkipW9Ud~=>?0^kntZsfz}dd{R>Pos23457?3|kyx~efp`9vewrtc`zT<<<4u`pwwnowW9Ujbi>?010b?pgu|zab|R>Paof3456XadzTyl|{sjks[5Yffm:;<=?>2:wbvqulayU;Sca{01226d=ri{~xgd~P0^llp5679Vcf|R{nruqhmuY7Wge<=>>1038qdts{bc{S=Q`r12347><}hxfg_1]lv5678Vcf|R{nruqhmuY7Wfx;<=>>2:ws=>pfd|oTod`6;wcoq`Yhxk30{lg{nnu\447<hcbbyP0^cm`5678;20{lg{nnu\4Zgil9:;?00324>qfa}dd{R>Pos2345413~kbxcax_1]lv5678Vcf|RyniullsZ6Xg{:;<=?>0:ubmqhhV:Tc>?0005?rgn|ge|S=Q`r1235ZojxV}jey``w^2\kw6788;:<6yniullsZ6Xg{:;?2^kntZqfa}dd{R>Pos23477?3qi29=>wld9{g<34>qf{o9:"5|BCtcc63IJs==?5F;095~U4<3;;<7m;:0177a032hhnjv`i9;38jcg=>2.m47h=;|Q07?7783i?6<=;3e47>dda>2n:8;4?:082V5328:;6n:51260`32=ikom7{Hk8;295?7=8rY887??0;a7>453;m6>68;c372?6=j3?86;;tLg496~"d=393:6F96:Ob2<6s-;>o7>4}%d3>4213S8m65$b592`=ik?0;76a9d;29 f1=>l1eo;4>;:m5g?6=,j=1:h5ac781?>i1j3:1(n956d9mg3<432e=m7>5$b592`=ik?0?76a99;29 f1=>l1eo;4:;:m5i1?3:1(n956d9mg3<032h:4n4?:481>0}Kn?0:wE89;|Ne3?4|f8?o68>4$07`>5=z,o:1;;5f3683>>id03:17d=::188m60=831b??4?::`2>5<2290;w)h?:4c8Lc2{n;80;66g<2;29?l572900e>950;9lg=<722wij84?:083>5}#n90>o6Fi4:m6f?6=3ty897>52z\01>;62::0q~=9:181[5134;1?<5rsc294?4|5o?19o521;11?xue93:1>v3>:b:8Zf>1vqo?9b;291?4==rFm:7?tH748yI`02;qe=8j5469'50e=82w/j=471:k03?6=3fi36=44i2794?=n;?0;66g<2;29?g7=83?1<7>t$g291d=On=1Gj;4>{%47>4313tc8=7>5;h11>5<>id03:17pli5;295?6=8r.m<7;l;Id7?j3e2900q~=::181[5234;1?=5rs2494?4|V:<01<4<1:pf5<72;q6j84:b:?2>645<22;0>wAh9:0yK23=zDo=1>v`>5e85`>"6=j0;7p*i0;:`?l502900cn650;9j70<722c8:7>5;h11>5<:188m64=831b?=4?::k03?6=3fi36=44}cd6>5<6290;w)h?:4a8Lc2v3i5;7a?87=;;1vo?50;0x947}Y;>16=7=8;|a5f2=83?1>7;tLg495~N1>2wGj:4={o36`?373-;>o7>4}%d3><1>o4=3:17d=9:188m64=831i=7>55;294~"a83?j7Eh;;Md5>4}#>=0:9;5ri2394?=n;;0;66g<0;29?l502900cn650;9~fc3=83;1<7>t$g291f=On=1d9o4?::p70<72;qU?8521;13?xu4>3:1>vP<6:?2>670d<5808>6s|b083>7}:93i37Sm7;|qa6?6=:rT8;63>:258yxd60k0;684=:4yOb3<6sA<=7pBi7;0xj43c2==0(<;l:19~ c6=1m1b?:4?::m`6=44i2494?=n;;0;66l>:186>5<7s-l;68o4Hg68Hc0=9r.=87?:6:j74<722c8>7>5;h13>5<>{en<0;6<4?:1y'b5<2k2Bm86a:b;29?xu4=3:1>vP<5:?2>6650;0x9c3==k16=7==;|qa5?6=:r7:6n64^b:8yvd52909wS=8;<3972=zuk;oi7>55;091~Ja>3;pD;84}Md4>7}i9{#n902i6g<7;29?je?2900e>;50;9j73<722c8>7>5;c394?3=83:p(k>55`9Kb1=Kn?0:w)8;:075?xo493:17d==:188m66=831b?:4?::m`51;294~"a83?h7Eh;;n7a>5<6=4={_16?87=;91v>850;0xZ60<5808=6s|b183>7}:n<0>n63>:208yvd62909w0?5c99]g==z{k81<77<2sEl=65<>o4>3:17d==:188f4<72<0;6=u+f186e>Na<2Fm:7?t$7695005<7s-l;68m4Hg68k0d=831v>;50;0xZ63<5808<6s|3783>7}Y;?16=7=>;|qa4?6=:r7m97;m;<3977=z{k;1<7Xd02wxn?4?:3y]72=:939<7psm1g:94?3=:3?p@k851zJ52>{Kn>09wc?:d;73?!72k3:0q)h?:`28m61=831do54?::k01?6=3`9=6=44i2094?=e93:197>50z&e4?3f3Al?7Ah9:0y'21<6=?1ve>?50;9j77<722c8<7>5;h14>5<55b9Kb1=h=k0;66s|3483>7}Y;<16=7=?;|q02?6=:rT8:63>:238yvd72909w0h::4`894<4:2wxn<4?:3y>5?e?3Wi37p}m2;296~X4?27:6>94}|`1e3<72<0968uCf782M013tFm;706<,8?h6=5r$g29e4=n;>0;66al8;29?l522900e>850;9j77<722h:6=4::183!`72:6sf3083>>o4:3:17d=?:188m61=831do54?::ab0<7280;6=u+f186g>Na<2e>n7>5;|q01?6=:rT8963>:228yv512909wS=9;<3974=z{k:1<75<5sW9<70?5369~yg46:3:197<55zNe2?7|@?<0qAh8:3ym50b==91/=8m50:'b5<0?2c8;7>5;na;>5<>o4:3:17o?50;794?6|,o:19l5Gf59Ob3<6s-0;66al8;29?xda=3:1=7>50z&e4?3d3Al?7b;m:188yv522909wS=:;<3975=z{:<1<7b0<2j27:6><4}r`2>5<5s4;1o55Qc99~wg4=838pR>94=0803>{zj;i;6=4::386I`128qC:;5rLg596~h6=m0><6*>5b83?x"a83=37d=8:188kf>=831b?84?::k02?6=3`996=44b083>0<729q/j=4:a:Je0>Ja>3;p(;:51448yl562900e><50;9j75<722c8;7>5;na;>5<6=4>:183!`72{tj;0;6?uQ369>5?503twi>?m50;796?3|Do<1=vF96:Ob2<5sg;>h7;?;%36g?6<50;9a5?6==3:1>o483:17d=8:188kf>=831vnk;50;394?6|,o:19n5Gf59l1g<722wx?84?:3y]70=:939;7p}<6;296~X4>27:6>?4}r`3>5<5s4l>68l4=0806>{tj80;6?u21;a;?[e?3tyi>7>52z\03>;62:=0qpl=d`83>0<52{I45?xJa?38pb<;k:428 43d291v(k>57`9j72<722eh47>5;h16>5<>d6290>6=4?{%d3>0g<@o>0@k851z&50?72>2wb?<4?::k06?6=3`9;6=44i2594?=hk10;66smf483>4<729q/j=4:c:Je0>i2j3:17p}<5;296~X4=27:6>>4}r15>5<5sW9=70?5309~wg6=838p1k;55c9>5?553tyi=7>52z?2>f>o4?3:17bm7:188m63=831b?;4?::k06?6=3k;1<7;50;2x c6==h1Cj95Cf782!0328?=7pg<1;29?l552900e>>50;9j72<722eh47>5;|`e1?6=93:15<5sW9>70?5319~w60=838pR>84=0805>{tj90;6?u2f486f>;62:80q~l>:18187=k11Uo55rsc094?4|V:=01<4<7:~f7`3290>6?4:{Md5>4}O>?1v@k952zl21a<282.:9n4?;|&e4?1d3`9<6=44ob:94?=n;<0;66g<6;29?l552900n<4?:483>5}#n90>m6Fi4:Ne2?7|,?>1=884}h12>5<>o4?3:17bm7:188yg`2290:6=4?{%d3>0e<@o>0c8l50;9~w63=838pR>;4=0804>{t;?0;6?uQ379>5?563tyi<7>52z?e1?3e34;1??5rsc394?4|580h46Pl8:pf7<72;qU?:521;14?x{e:<7)?:c;28y!`72>n0e>950;9lg=<722c897>5;h15>5<579~m67=831b??4?::k04?6=3`9<6=44ob:94?=zjo?1<7?50;2x c6==j1Cj95`5c83>>{t;<0;6?uQ349>5?573ty8:7>52z\02>;62:;0q~l?:1818`22vP<7:?2>610}Kn?0:wE89;|Ne3?4|f8?o68>4$07`>5=z,o:1;h5f3683>>id03:17d=::188m60=831b??4?::`2>5<2290;w)h?:4c8Lc2{n;80;66g<2;29?l572900e>950;9lg=<722wij84?:083>5}#n90>o6Fi4:m6f?6=3ty897>52z\01>;62::0q~=9:181[5134;1?<5rsc294?4|5o?19o521;11?xue93:1>v3>:b:8Zf>1vqo=:c;299;Yad<5s8h1=i4ri2794?=n;?0;66gjd;29?jb5290/o:4k1:l`2?6<3fn;6=4+c68g5>hd>3;07bmi:18'g25<#k>0o=6`l6;18?je>290/o:4k1:l`2?2<3k;1<7j55;fxHc0=9rB=:6sCf68:!72j3;?46*>51803>"6=;0h46*>4d801>h657g9Y6c<5s831=:4rZdc96~7e28?1qd=::188m60=831bii4?::mg6?6=,j=1h<5ac783?>ic83:1(n95d09mg3<632ehj7>5$b59`4=ik?0976ale;29 f1=l81eo;4<;:m`=?6=,j=1h<5ac787?>o393:1(n95419mg3<732c8j7>5$b5905=ik?0:76go403:1(n95419mg3<332h:6=4::284I`128qC:;5rLg59=~"6=k0:855+142972=#9<81o55+15g970=i9=k1=6*>4g802>h650z&e4?3f3Al?7Ah9:0y'21<6=?1ve>?50;9j77<722c8<7>5;h14>5<55b9Kb1=h=k0;66s|3483>7}Y;<16=7=?;|q02?6=:rT8:63>:238yvd72909w0h::4`894<4:2wxn<4?:3y>5?e?3Wi37p}m2;296~X4?27:6>94}|`257<72:0;6=u+f1812>Na<2c>j7>5;h43>5<5<4290;w)h?:c`8Lc2>iei3:17p}<5;296~X4=27:6>;4}r15>5<5sW9=70?=8;43?xu4?3:1>vP<7:?257<182wxo54?:3y]g==:93i37p}jd;297~Xbl27:=?4:f:?26=<2n2wx=2}Kn?0:wE89;|Ne3??|,8?i6<:7;%364?503-;>>7m7;%37a?523g;?m7?4$06e>60i6<5+1469aa=i9=i1=6s+f18;6>o4=3:17d=9:188m61=831do54?::kf`?6=3k;1<7;52;7xHc0=9rB=:6s+f1841>o4?3:17bm7:188m63=831b?;4?::k06?6=3k;1<7;50;2x c6==h1Cj95Cf782!0328?=7pg<1;29?l552900e>>50;9j72<722eh47>5;|`e1?6=93:15<5sW9>70?5319~w60=838pR>84=0805>{tj90;6?u2f486f>;62:80q~l>:18187=k11Uo55rsc094?4|V:=01<4<7:~f47529086=4?{%d3>70<@o>0e8h50;9j25<722eim7>5;|`26=<72:0;6=u+f18af>Na<2c>j7>5;h43>5<1;296~;62:=01v3>:248944?2kk0qpl>1;291?5=?rFm:7?tH748yI`020q/=8l515:8 4372:=0(<;=:b:8 42b2:?0b<:n:09'51`=;?1e=9l51:&211;|&e4?>43`9>6=44i2494?=n;>0;66al8;29?lcc2900n<4?:481>0}Kn?0:wE89;|&e4?123`9<6=44ob:94?=n;<0;66g<6;29?l552900n<4?:483>5}#n90>m6Fi4:Ne2?7|,?>1=884}h12>5<>o4?3:17bm7:188yg`2290:6=4?{%d3>0e<@o>0c8l50;9~w63=838pR>;4=0804>{t;?0;6?uQ379>5?563tyi<7>52z?e1?3e34;1??5rsc394?4|580h46Pl8:pf7<72;qU?:521;14?x{e9881<7=50;2x c6=:?1Cj95f5g83>>o183:17bln:188yg7503:1?7>50z&e4?de3Al?7d;i:188m36=831dnl4?::p70<72;qU?8521;16?xu4>3:1>vP<6:?26=<182wx?:4?:3y]72=:9881:=5rsb:94?4|Vj201<4l8:paa<72:qUii5210091c=:9;219k5rs032>5<5s4;1?:521009fd=z{88<6=4={<3973=:9;21nl5r}c31>5<22:05c820==#9<:1?:5+1409g==#9=o1?85a15c95>"64c82?!72<3oo7c?;c;38y!`721>0e>;50;9j73<722c8;7>5;na;>5<?0e>950;9lg=<722c897>5;h15>5<579~m67=831b??4?::k04?6=3`9<6=44ob:94?=zjo?1<7?50;2x c6==j1Cj95`5c83>>{t;<0;6?uQ349>5?573ty8:7>52z\02>;62:;0q~l?:1818`22vP<7:?2>615}#n909:6Fi4:k6b?6=3`<;6=44occ94?=zj8836=4<:183!`72kh0Dk:4i4d94?=n>90;66ama;29?xu4=3:1>vP<5:?2>6354:f:p547=838p1<4<7:?25727:>54ma:~f45=83?1?79tLg495~N1>2wGj:46{%36f?7302.:9=4<7:&2174=z,o:1485f3483>>o4>3:17d=8:188kf>=831bii4?::`2>5<22;0>wAh9:0yK23=z,o:1;85f3683>>id03:17d=::188m60=831b??4?::`2>5<2290;w)h?:4c8Lc2{n;80;66g<2;29?l572900e>950;9lg=<722wij84?:083>5}#n90>o6Fi4:m6f?6=3ty897>52z\01>;62::0q~=9:181[5134;1?<5rsc294?4|5o?19o521;11?xue93:1>v3>:b:8Zf>1vqo?>2;297?6=8r.m<7<9;Id7?l3a2900e;>50;9lfd<722wi=?650;194?6|,o:1no5Gf59j1c<722c=<7>5;n`b>5<6=4={_16?87=;<1v>850;0xZ60<58836;>4}r14>5<5sW9<70?>2;43?xud03:1>vPl8:?2>f>2683>7}:939=70?=8;`b?x{t;<0;6;uQ349>5?523481?85210801>;6:39>70?<:278yv51290=wS=9;<3973=::39=70?>:248944=;?16=>4<6:paa<72?qUii521;gg?84=mm16=<4jd:?26?cc34;86hj4}rf1>5<5sWn970?<:b:8 `e=l81eio4?;|qg4?6=:rTo<63>2;a;?!cd2m;0bhl51:pgc<72;qUok52108`<>"bk3n:7ckm:39~wfc=838pRnk4=38`<>"bk3n:7ckm:29~wf?=838pRn74=08`<>"bk3n:7ckm:59~w17=838pR9?4=01972=#mj0?<6`jb;28yv5a2909wS=i;<31>61<,li18=5aec82?xu4m3:1>vP4nd`96>{t;m0;6?uQ3e9>6?503-oh69>4nd`97>{t;10;6?uQ399>5?503-oh69>4nd`90>{zjh91<7o58;fxHc0=9rB=:6sCf686!72j3;9n6*>5087=>"4?h097)?:3;f:?!5013;0q)h?:638^7`=:r;j6<65}[gb>7}6k3;=6paj3;29 f1=m;1eo;4?;:mf5?6=,j=1i?5ac782?>ib83:1(n95e39mg3<532eoj7>5$b59a7=ik?0876aka;29 f1=m;1eo;4;;:k67?6=,j=19?5ac783?>o293:1(n95539mg3<632c><7>5$b5917=ik?0976g;f;29 f1==;1eo;4<;:k7e?6=,j=19?5ac787?>df?3:1?7?53zNe2?7|@?<0q)h?:`08m11=831b854?::mg=?6=3klm6=4<:286!`72ol0e9950;9j0=<722eo57>5;cd`>5<4290;w)h?:348Lc2>iei3:17plid;297?6=8r.m<7<9;Id7?l3a2900e;>50;9lfd<722wijh4?:283>5}#n90in6Fi4:k6b?6=3`<;6=44occ94?=z{==1<7=t^5589ce=>916ji4:f:p0=<72:qU8552fb86b>;al3<;7p}k9;296~Xc127mi7ln;|qef?6=:r7mo7ln;0`gg<5oo1:=5r}r64>5<5sW><70hi:558yv2?2909wS:7;1>5;cde>5<42:0>w)h?:gd8m11=831b854?::mg=?6=3klh6=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xdal3:1?7>50z&e4?413Al?7d;i:188m36=831dnl4?::ab`<72:0;6=u+f18af>Na<2c>j7>5;h43>5<ba<2n2wx854?:2y]0==:nj0>j63id;43?xuc13:1>vPk9:?ea?df3tymn7>52z?eg?df34ln68h4}rd`>5<5s4lo6oo4=gg925=zuz><6=4={_64?8`a2==0q~:7:181[2?34lm6964}rf:>5<5sWn270hi:e;8yxdf13:1?7?53zNe2?7|@?<0q)h?:`08m11=831b854?::mg=?6=3klm6=4<:286!`72ol0e9950;9j0=<722eo57>5;cd`>5<4290;w)h?:348Lc2>iei3:17plid;297?6=8r.m<7<9;Id7?l3a2900e;>50;9lfd<722wijh4?:283>5}#n90in6Fi4:k6b?6=3`<;6=44occ94?=z{==1<7=t^5589ce=>916ji4:f:p0=<72:qU8552fb86b>;al3<;7p}k9;296~Xc127mi7ln;|qef?6=:r7mo7ln;0`gg<5oo1:=5r}r64>5<5sW><70hi:558yv2?2909wS:7;1>5;cde>5<42:0>w)h?:gd8m11=831b854?::mg=?6=3klh6=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xdal3:1?7>50z&e4?413Al?7d;i:188m36=831dnl4?::ab`<72:0;6=u+f18af>Na<2c>j7>5;h43>5<ba<2n2wx854?:2y]0==:nj0>j63id;43?xuc13:1>vPk9:?ea?df3tymn7>52z?eg?df34ln68h4}rd`>5<5s4lo6oo4=gg925=zuz><6=4={_64?8`a2==0q~:7:181[2?34lm6964}rf:>5<5sWn270hi:e;8yxd69;0;6>4?:1y'b5<5=2Bm86g:f;29?l072900coo50;9~f47329086=4?{%d3>73<@o>0e8h50;9j25<722eim7>5;|`253<72:0;6=u+f1811>Na<2c>j7>5;h43>5<5649Kb1=n=l0;66ama;29?xu6980;6>u2a9873>;69;0im63>15854>{t9891<7=t=`;902=:98>1nl52104925=z{8;>6=4={11<58;=6oo4}rg0>5<5sWo870on:e;8 `e=m;1eio4?;|qf5?6=:rTn=63n9;f:?!cd2l80bhl51:pa5<72;qUi=52a98g=>"bk3o97ckm:39~wa`=838pRih4=`59`<=#mj0n>6`jb;18yvbf2909wSjn;gg<,li1i?5aec87?xu2;3:1>vP:3:?be?2?3-oh68<4nd`94>{t=80;6>uQ509>e<<3027:=;4:f:&fg?353goi6<5rs4294?5|V<:01l65499>542==o1/in4:2:lff?4m6=4<{_6e?8g02=2013:1>vP<6:?2>6063n3;70?!cd2m;0bhl50:p`5<72:qUh=521;f3?8g42<;0(hm5d09mag<63tyhj7>53z\`b>;62jl01l=5519'af5<4sWin70?5cd9>e6<3n2.no7j>;oga>6=z{j31<7=t^b;894a72909w0?53g9>e652z?2>6c<5h91i=5+eb824d=imk097p}>0683>7}:939o70o<:ed8 `e=99k0bhl53:p554=838p1<4<8:?b7?bf3-oh6<>n;oga>1=zuk8<87>55;091~Ja>3;pD;84}Md4>7}i9{#n903:6g<7;29?je?2900e>;50;9j73<722c8>7>5;c394?3=83:p(k>55`9Kb1=Kn?0:w)8;:075?xo493:17d==:188m66=831b?:4?::m`51;294~"a83?h7Eh;;n7a>5<6=4={_16?87=;91v>850;0xZ60<5808=6s|b183>7}:n<0>n63>:208yvd62909w0?5c99]g==z{k81<7<7:186>7<2sEl=65<>o4>3:17d==:188f4<72<0;6=u+f186e>Na<2Fm:7?t$7695005<7s-l;68m4Hg68k0d=831v>;50;0xZ63<5808<6s|3783>7}Y;?16=7=>;|qa4?6=:r7m97;m;<3977=z{k;1<7Xd02wxn?4?:3y]72=:939<7psm35094?3=:3?p@k851zJ52>{Kn>09wc?:d;73?!72k3:0q)h?:9:8m61=831do54?::k01?6=3`9=6=44i2094?=e93:197>50z&e4?3f3Al?7Ah9:0y'21<6=?1ve>?50;9j77<722c8<7>5;h14>5<55b9Kb1=h=k0;66s|3483>7}Y;<16=7=?;|q02?6=:rT8:63>:238yvd72909w0h::4`894<4:2wxn<4?:3y>5?e?3Wi37p}m2;296~X4?27:6>94}|`270<72o0962wGj:46{o36`?5?3-;>n7?;7:&20<<4?11/=8<5c99'51c=;<1e=9o51:&211;%36g?6idj3:1(n95cb9mg3<632ehm7>5$b59gf=ik?0976ak7;29 f1=kj1eo;4<;:mg2?6=,j=1on5ac787?>ic=3:1(n95cb9mg3<232eo87>5$b59gf=ik?0=76ak3;29 f1=kj1eo;48;:mg6?6=,j=1on5ac78;?>ic83:1(n95cb9mg3<>32ehj7>5$b59gf=ik?0j76ale;29 f1=kj1eo;4m;:m`=?6=,j=1on5ac78`?>d6290:o7j51byOb3<6sA<=7pBi7;5x 43e28>37)?:0;14?!72:3i37)?;e;16?k73i3;0(<;;:df8j42d281v(k>5889Y6c<5sh036pTja;0xg?0=u`9>6=44idf94?=hkm0;6)m8:ba8jf0=821doo4?:%a4>fe!e02ji0bn852:9l`2<72-i<6nm4nb497>=hl?0;6)m8:ba8jf0=<21dh84?:%a4>fe!e02ji0bn856:9l`6<72-i<6nm4nb493>=hl;0;6)m8:ba8jf0=021dh=4?:%a4>fe!e02ji0bn85a:9lg`<72-i<6nm4nb49f>=hk00;6)m8:ba8jf0=k21b?n4?:%a4>6d!e02:h0bn851:9j7<<72-i<6>l4nb496>=n6d!e02:h0bn855:9j06<72-i<6>l4nb492>=n<;0;6)m8:2`8jf0=?21b8<4?:%a4>6d!e02:h0bn859:9j7`<72-i<6>l4nb49e>=n;m0;6)m8:2`8jf0=j21b?54?:%a4>6dq/=8l515:8 4372:=0(<;=:b:8 42b2:?0b<:n:09'502=mm1e=9m51:'b55;h14>5<>d629086<4<{Md5>4}O>?1v(k>5749j72<722eh47>5;h16>5<4?:1y'b5<212Bm86Bi6;3x 32=9<<0qd=?:188m61=831do54?::p70<72;qU?8521;13?xue83:1>v3>:b:8Zf>1vqo?>2;297?6=8r.m<7<9;Id7?l3a2900e;>50;9lfd<722wx?84?:3y]70=:939>7p}<7;296~X4?27:=?490:pg=<72;qUo5521;a;?xubl3:1>vPjd:?257<2n2wx=42?3-;><7=8;%366?e?3-;?i7=:;o37e?7<,8??6hj4n06`>4=z,o:14o5f3483>>o4?3:17bm7:188m`b=831i=7>53;397~Ja>3;pD;84}%d3>23>o4=3:17o?50;194?6|,o:1945Gf59Ob3<6s-5?e?3Wi37p}m1;296~X4?27:6>94}|`257<72:0;6=u+f1812>Na<2c>j7>5;h43>5<5<5s4;1?:521009fd=zuk;:6=4;:386I`128qC:;5rLg593~"6=k0:855+142972=#9<81o55+15g970=i9=k1=6*>558f`>h6950;9lg=<722cnh7>5;c394?5=939p@k851zJ52>{#n90<96g<7;29?je?2900e>;50;9a5?6=;3:1>id03:17p}<5;296~X4=27:6>>4}r`3>5<5s4;1o55Qc99~wg7=838pR>94=0803>{zj8;96=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xu4=3:1>vP<5:?2>635<5sWoo70?>2;7e?xu6980;6?u21;14?876:3hj7psm1383>1<52{I45?xJa?3=p(<;m:06;?!72839<7)?:2;a;?!73m39>7c?;a;38 4332ln0b<:l:09~ c6=0l1b?84?::k03?6=3fi36=44idf94?=e93:1?7?53zNe2?7|@?<0q)h?:678m61=831do54?::k01?6=3k;1<7=50;2x c6==01Cj95Cf782!0328?=7pg<0;29?l502900cn650;9~w63=838pR>;4=0804>{tj90;6?u21;a;?[e?3tyi=7>52z\03>;62:=0qpl>1383>6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831v>;50;0xZ63<580896s|3683>7}Y;>16=<<5619~wf>=838pRn64=08`<>{tmm0;6?uQee9>544==o1v:18187=;>16=<<5b`9~yg74290?6?4:{Md5>4}O>?1v@k957z&21g<6<11/=8>5369'504=k11/=9k5349m51g=92.:994jd:l20f<63t.m<76i;h16>5<>obl3:17o?50;195?5|Do<1=vF96:'b5<0=2c8;7>5;na;>5<579~m66=831b?:4?::m`52z\01>;62::0q~l?:18187=k11Uo55rsc394?4|V:=01<4<7:~f47529086=4?{%d3>70<@o>0e8h50;9j25<722eim7>5;|q01?6=:rT8963>:278yv502909wS=8;<326?073tyh47>52z\`<>;62j20q~kk:181[cc34;:>7;i;|q254<72;q6=7=8;<326?df3twi=94?:581>0}Kn?0:wE89;|Ne3?1|,8?i6<:7;%364?503-;>>7m7;%37a?523g;?m7?4$077>`bh6<5r$g29=5=n;<0;66g<7;29?je?2900ehj50;9a5?6=;3;1?vBi6;3xL30t$g291<=On=1Gj;4>{%47>4313tc8<7>5;h14>5<vP<7:?2>615}#n909:6Fi4:k6b?6=3`<;6=44occ94?=z{:?1<75<32;0>wAh9:0yK23=zDo=1;v*>5c820==#9<:1?:5+1409g==#9=o1?85a15c95>"6==0nh6`>4b82?x"a833:7d=::188m61=831do54?::kf`?6=3k;1<7=51;1xHc0=9rB=:6s+f1841>o4?3:17bm7:188m63=831i=7>53;294~"a83?27Eh;;Md5>4}#>=0:9;5ri2294?=n;>0;66al8;29?xu4=3:1>vP<5:?2>665<4290;w)h?:348Lc2>iei3:17p}<5;296~X4=27:6>;4}r14>5<5sW9<70?>2;43?xud03:1>vPl8:?2>f>1083>7}:939<70?>2;`b?x{e:90;694=:4yOb3<6sA<=7pBi7;5x 43e28>37)?:0;14?!72:3i37)?;e;16?k73i3;0(<;;:df8j42d281v(k>5939j70<722c8;7>5;na;>5<?0e>950;9lg=<722c897>5;c394?5=83:p(k>5589Kb1=Kn?0:w)8;:075?xo483:17d=8:188kf>=831v>;50;0xZ63<5808<6s|b183>7}:93i37Sm7;|qa5?6=:rT8;63>:258yxd69;0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~w63=838pR>;4=0801>{t;>0;6?uQ369>544=>91vn650;0xZf><580h46s|ee83>7}Ymm16=<<55g9~w4762909w0?5369>544=jh1vqo<>:187>7<2sEl=6499'506=;>1/=8<5c99'51c=;<1e=9o51:&211;|&e4??43`9>6=44i2594?=hk10;66gjd;29?g7=8391=7=tLg495~N1>2w/j=485:k03?6=3fi36=44i2794?=e93:1?7>50z&e4?3>3Al?7Ah9:0y'21<6=?1ve>>50;9j72<722eh47>5;|q01?6=:rT8963>:228yvd72909w0?5c99]g==z{k;1<75<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3ty897>52z\01>;62:?0q~=8:181[5034;:>78?;|q`:b:8yvcc2909wSkk;<326?3a3ty:=<4?:3y>5?5034;:>7ln;|a61<72=0968uCf782M013tFm;79t$07a>42?3-;><7=8;%366?e?3-;?i7=:;o37e?7<,8??6hj4n06`>4=z,o:1595f3483>>o4?3:17bm7:188m`b=831i=7>53;397~Ja>3;pD;84}%d3>23>o4=3:17o?50;194?6|,o:1945Gf59Ob3<6s-5?e?3Wi37p}m1;296~X4?27:6>94}|`257<72:0;6=u+f1812>Na<2c>j7>5;h43>5<5<5s4;1?:521009fd=zuk91<7:52;7xHc0=9rB=:6sCf684!72j3;?46*>51803>"6=;0h46*>4d801>h66<62:qGj;4>{I45?x"a83=>7d=8:188kf>=831b?84?::`2>5<4290;w)h?:4;8Lc2{n;90;66g<7;29?je?2900q~=::181[5234;1?=5rsc294?4|580h46Pl8:pf4<72;qU?:521;14?x{e9881<7=50;2x c6=:?1Cj95f5g83>>o183:17bln:188yv522909wS=:;<3970=z{:=1<7v3>:25894752kk0qpl;:187>7<2sEl=6499'506=;>1/=8<5c99'51c=;<1e=9o51:&211;|&e4??13`9>6=44i2594?=hk10;66gjd;29?g7=8391=7=tLg495~N1>2w/j=485:k03?6=3fi36=44i2794?=e93:1?7>50z&e4?3>3Al?7Ah9:0y'21<6=?1ve>>50;9j72<722eh47>5;|q01?6=:rT8963>:228yvd72909w0?5c99]g==z{k;1<75<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3ty897>52z\01>;62:?0q~=8:181[5034;:>78?;|q`:b:8yvcc2909wSkk;<326?3a3ty:=<4?:3y>5?5034;:>7ln;|a1?6=<3819vBi6;3xL30<,8?;6>94$071>f><,8>n6>;4n06b>4=#9<>1ii5a15a95>{#n90246g<5;29?l502900cn650;9jaa<722h:6=4<:080I`128qC:;5r$g2930=n;>0;66al8;29?l522900n<4?:283>5}#n90>56Fi4:Ne2?7|,?>1=884}h13>5<>{t;<0;6?uQ349>5?573tyi<7>52z?2>f>:181[5034;1?:5r}c326?6=;3:17}Y;<16=7=:;|q03?6=:rT8;63>13854>{tk10;6?uQc99>5?e?3tynh7>52z\f`>;69;0>j6s|10394?4|5808;63>138ae>{z{:?1<7kt^27894<4=2796>;4=03970=:9;08963>3;16?8732:?0165<4=279=7=:;<07>63<5:08963;:27890<4=2wxii4?:dy]aa=:93oo70<5ee9>547kk;<30>`b<58>1ii521g8f`>;583oo70<>:df8972=mm16?7kk;<69aa=:=3oo7p}ld;296~Xdl27>6n64$da9gf=imk0;7p}lb;296~Xdj27?6n64$da9gf=imk0:7p}la;296~Xdi2786n64$da9gf=imk097p}k7;296~Xc?27987m7;%g`>fe7}Yl?16><4l8:&fg?ed3goi695rse794?4|Vm?01?>5c99'af5<5sWn?70?i:b:8 `e=kj1eio49;|qg7?6=:rTo?63>4;a;?!cd2ji0bhl57:p`7<72;qUh?52128`<>"bk3ih7ckm:99~wa6=838pRi>4=009g==#mj0ho6`jb;;8yvea2909wSmi;<32>f><,li1on5aec8b?xudm3:1>vPle:?1>f><,li1on5aec8a?xud13:1>vPl9:?2>f><,li1on5aec8`?xu4k3:1>vP61<,li1?o5aec83?xu4i3:1>vP61<,li1?o5aec82?xu413:1>vP<9:?0>61<,li1?o5aec81?xu3>3:1>vP;6:?10?503-oh6>l4nd`97>{t<<0;6?uQ449>64<4?2.no7=m;oga>1=z{=>1<71/in486=4={_60?87a2:=0(hm53c9mag<13ty?>7>52z\76>;6<39<7)kl:2`8j`d=?2wx8<4?:3y]04=:9:08;6*jc;1a?kce211v>h50;0xZ6`<5881?:5+eb80f>hbj330q~=j:181[5b34;:6>94$da97g=imk0j7p}94$da97g=imk0i7p}<8;296~X4027:6>94$da97g=imk0h7psma283>4g=91099vBi6;3xL307=#9<91h45+36;95>{#n90<>6T=f;0xf??=uSoj6?uk:68~kac=83.h;7jk;oa5>5=1===50;&`3?bc3gi=6l54oed94?"d?3no7cm9:c98kag=83.h;7jk;oa5>f=o7cm9:398m0>=83.h;7:k;oa5>6=o7cm9:798m02=83.h;7:k;oa5>2=o7cm9:`98m1`=83.h;7:k;oa5>g=5;h6;>5<6<4236=44oe;94?=enj0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~fcb=8391<7>t$g2963=On=1b9k4?::k54?6=3fhj6=44}cdf>5<4290;w)h?:c`8Lc2>iei3:17p};7;297~X3?27mo78?;0`36=4<{_6;?8`d2j6s|fb83>7}:nm0im63ie;43?x{t<>0;6?uQ469>bc<3?2wx854?:3y]0==:no0?46s|d883>7}Yl016jk4k9:~fd>=8391=7=tLg495~N1>2w/j=4n2:k73?6=3`>36=44oe;94?=eno0;6>4<:4y'b55;h6;>5<6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vnkj50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<90;66ama;29?xu3?3:1?vP;7:?eg?0734lo68h4}r6;>5<4sW>370hl:4d89cb=>91vi750;0xZa?<5oo1nl5rsg`94?4|5oi1nl52fd86b>{tnj0;6?u2fe8ae>;am3<;7ps|4683>7}Y<>16jk4;7:p0=<72;qU8552fg87<>{tl00;6?uQd89>bc5;h6;>5<6<4236=44oe;94?=enj0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~fcb=8391<7>t$g2963=On=1b9k4?::k54?6=3fhj6=44}cdf>5<4290;w)h?:c`8Lc2>iei3:17p};7;297~X3?27mo78?;0`36=4<{_6;?8`d2j6s|fb83>7}:nm0im63ie;43?x{t<>0;6?uQ469>bc<3?2wx854?:3y]0==:no0?46s|d883>7}Yl016jk4k9:~fdg=8391=7=tLg495~N1>2w/j=4n2:k73?6=3`>36=44oe;94?=eno0;6>4<:4y'b55;h6;>5<6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vnkj50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<90;66ama;29?xu3?3:1?vP;7:?eg?0734lo68h4}r6;>5<4sW>370hl:4d89cb=>91vi750;0xZa?<5oo1nl5rsg`94?4|5oi1nl52fd86b>{tnj0;6?u2fe8ae>;am3<;7ps|4683>7}Y<>16jk4;7:p0=<72;qU8552fg87<>{tl00;6?uQd89>bc5;h6;>5<6<4236=44oe;94?=enj0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~fcb=8391<7>t$g2963=On=1b9k4?::k54?6=3fhj6=44}cdf>5<4290;w)h?:c`8Lc2>iei3:17p};7;297~X3?27mo78?;0`36=4<{_6;?8`d2j6s|fb83>7}:nm0im63ie;43?x{t<>0;6?uQ469>bc<3?2wx854?:3y]0==:no0?46s|d883>7}Yl016jk4k9:~fde=8391=7=tLg495~N1>2w/j=4n2:k73?6=3`>36=44oe;94?=eno0;6>4<:4y'b55;h6;>5<6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vnkj50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<90;66ama;29?xu3?3:1?vP;7:?eg?0734lo68h4}r6;>5<4sW>370hl:4d89cb=>91vi750;0xZa?<5oo1nl5rsg`94?4|5oi1nl52fd86b>{tnj0;6?u2fe8ae>;am3<;7ps|4683>7}Y<>16jk4;7:p0=<72;qU8552fg87<>{tl00;6?uQd89>bc5;h6;>5<6<4236=44oe;94?=enj0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~fcb=8391<7>t$g2963=On=1b9k4?::k54?6=3fhj6=44}cdf>5<4290;w)h?:c`8Lc2>iei3:17p};7;297~X3?27mo78?;0`36=4<{_6;?8`d2j6s|fb83>7}:nm0im63ie;43?x{t<>0;6?uQ469>bc<3?2wx854?:3y]0==:no0?46s|d883>7}Yl016jk4k9:~fdc=8391=7=tLg495~N1>2w/j=4n2:k73?6=3`>36=44oe;94?=eno0;6>4<:4y'b55;h6;>5<6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vnkj50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<90;66ama;29?xu3?3:1?vP;7:?eg?0734lo68h4}r6;>5<4sW>370hl:4d89cb=>91vi750;0xZa?<5oo1nl5rsg`94?4|5oi1nl52fd86b>{tnj0;6?u2fe8ae>;am3<;7ps|4683>7}Y<>16jk4;7:p0=<72;qU8552fg87<>{tl00;6?uQd89>bc5;h6;>5<6<4236=44oe;94?=enj0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~fcb=8391<7>t$g2963=On=1b9k4?::k54?6=3fhj6=44}cdf>5<4290;w)h?:c`8Lc2>iei3:17p};7;297~X3?27mo78?;0`36=4<{_6;?8`d2j6s|fb83>7}:nm0im63ie;43?x{t<>0;6?uQ469>bc<3?2wx854?:3y]0==:no0?46s|d883>7}Yl016jk4k9:~fd2=8391=7=tLg495~N1>2w/j=4n2:k73?6=3`>36=44oe;94?=eno0;6>4<:4y'b55;h6;>5<6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vnkj50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<90;66ama;29?xu3?3:1?vP;7:?eg?0734lo68h4}r6;>5<4sW>370hl:4d89cb=>91vi750;0xZa?<5oo1nl5rsg`94?4|5oi1nl52fd86b>{tnj0;6?u2fe8ae>;am3<;7ps|4683>7}Y<>16jk4;7:p0=<72;qU8552fg87<>{tl00;6?uQd89>bc5;h6;>5<6<4236=44oe;94?=enj0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~fcb=8391<7>t$g2963=On=1b9k4?::k54?6=3fhj6=44}cdf>5<4290;w)h?:c`8Lc2>iei3:17p};7;297~X3?27mo78?;0`36=4<{_6;?8`d2j6s|fb83>7}:nm0im63ie;43?x{t<>0;6?uQ469>bc<3?2wx854?:3y]0==:no0?46s|d883>7}Yl016jk4k9:~fd0=8391=7=tLg495~N1>2w/j=4n2:k73?6=3`>36=44oe;94?=eno0;6>4<:4y'b55;h6;>5<6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vnkj50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<90;66ama;29?xu3?3:1?vP;7:?eg?0734lo68h4}r6;>5<4sW>370hl:4d89cb=>91vi750;0xZa?<5oo1nl5rsg`94?4|5oi1nl52fd86b>{tnj0;6?u2fe8ae>;am3<;7ps|4683>7}Y<>16jk4;7:p0=<72;qU8552fg87<>{tl00;6?uQd89>bc5<7s-l;6?;4Hg68m0`=831b:=4?::mae?6=3th:=94?:283>5}#n90996Fi4:k6b?6=3`<;6=44occ94?=zj8;=6=4<:183!`72;?0Dk:4i4d94?=n>90;66ama;29?xd6910;6>4?:1y'b5<5=2Bm86g:f;29?l072900coo50;9~f47f29086=4?{%d3>73<@o>0e8h50;9j25<722eim7>5;|`25f<72:0;6=u+f1811>Na<2c>j7>5;h43>5<5<4290;w)h?:378Lc2>iei3:17pl>2183>6<729q/j=4=5:Je0>o2n3:17d8?:188kgg=831vn<<=:180>5<7s-l;6?;4Hg68m0`=831b:=4?::mae?6=3th:>94?:283>5}#n90996Fi4:k6b?6=3`<;6=44occ94?=zj88=6=4<:183!`72;?0Dk:4i4d94?=n>90;66ama;29?xdb13:1>7>50z&e4?023Al?7d;j:188kgg=831v:1808g?2==013;297~;f13><70?>4;`b?876>3<;7p}>1483>6}:ih0?;63>178ae>;6910=<6s|10594?5|5hh18:5210:9fd=:98k1:=5rs03:>5<4s4kh6994=03b>gg<58;h6;>4}r32f?6=;r7jh7:8;<32g?df34;:i78?;|q260<72;q6m;4;7:?26316=576=>91v<70?=2;`b?875<3<;7p}>2283>6}:i<0?;63>258ae>;6:?0=<6s|dd83>7}Yll16m;4k9:&fg?bc3goi6=5rsea94?4|Vmi01l;5d89'af5<5sWni70o;:e;8 `e=lm1eio4=;|qf"bk3no7ckm:59~w`0=838pRh84=`f9`<=#mj0oh6`jb;78yvc22909wSk:;a?<,li1hi5aec85?xub<3:1>vPj4:?bf?b>3-oh6ij4nd`93>{tm:0;6?uQe29>ed==z{l;1<752z\gb>;f?3n27)kl:ef8j`d=j2wxhl4?:3y]`d=:m00im6*jc;fg?kce2j1v9k50;0xZ1c<5h<1855+eb87`>hbj3:0q~:l:180[2d34k>6964=005>0`<,li18i5aec82?xu3j3:1?vP;b:?b0?2?34;987;i;%g`>1b6s|5983>6}Y=116mk4;8:?267<2n2.no7:k;oga>6=z{<=1<7=t^4589dc=<116=?>55g9'af<3l2dnn7:4}r75>5<4sW?=70ok:5:8947b297>53z\61>;fk3>370?>c;7e?!cd2=n0bhl56:p11<72:qU9952ac87<>;69h0>j6*jc;6g?kce2>1v8=50;1xZ05<5hk1855210:91c=#mj0?h6`jb;:8yv362908wS;>;1><58;=68h4$da90a=imk027p}:0;297~X2827j47:7;<320?3a3-oh69j4nd`9e>{tuQ4g9>e2<3027:=?4:f:&fg?2c3goi6o5rs5c94?2|V=k01l95469>544=>916i44:e:&fg?2c3goi6n5r}r16>5<5sW9>70?5349~w`b=838pRhj4=08f`>{tkm0;6>uQce9>5?ec34k869k4$da9gf=imk0;7p}lb;297~Xdj27:6nl4=`190f=#mj0ho6`jb;38yvef2908wSmn;<39gd=:i:0?n6*jc;a`?kce2;1vi950;1xZa1<580o;63n3;7;?!cd2ji0bhl53:p`3<72:qUh;521;f5?8g42<=0(hm5cb9mag<33tyo97>53z\g1>;62m?01l=5579'af5<4sWn?70?5d59>e6<2=2.no7ml;oga>3=z{m91<7=t^e1894fe6}Yl;16=7j=;05<,li1on5aec8;?xuc83:1?vPk0:?2>a6<5h919<5+eb8`g>hbj330q~mi:180[ea34;1ok52a2864>"bk3ih7ckm:`9~wfc=839pRnk4=08`a>;f;3>m7)kl:ba8j`d=j2wxo44?:2y]g<=:93i270o<:5c8 `e=kj1eio4l;|q243<72;q6=7=l;ac<,li1==;4nd`94>{t99>1<7;f;3nh7)kl:026?kce281v<><:18187=;016m>4kb:&fg?77=2dnn7<4}r324?6=:r7:6984=`19a==#mj0:<85aec80?xu68o0;6?u21;66?8g42l=0(hm51178j`d=<2wx==k50;0x94<3<27j?7k9;%g`>4623goi685rs02g>5<5s4;18>52a28f1>"bk3;;96`jb;48yv77k3:1>v3>:5089d5=m=1/in4>049mag<03ty:5?2634k86h=4$da95537ckm:89~w46?2909w0?53d9>e652z?2>6b<5h91hk5+eb8240=imk0i7p}>0383>7}:939370o<:ec8 `e=99?0bhl5c:~f43?290>6?4:{Md5>4}O>?1v@k95bzl21a<2<2.:9o4>499'506=;>1/=8<5c99'51c=;<1e=9o51:&20c<4>2d:8o4>;%361?cb3g;?h7?4$07`>5=z,o:1545f3483>>o4>3:17d=8:188kf>=831bih4?::`2>5<22;0>wAh9:0yK23=z,o:1;85f3683>>id03:17d=::188m60=831b??4?::`2>5<2290;w)h?:4c8Lc2{n;80;66g<2;29?l572900e>950;9lg=<722wij84?:083>5}#n90>o6Fi4:m6f?6=3ty897>52z\01>;62::0q~=9:181[5134;1?<5rsc294?4|5o?19o521;11?xue93:1>v3>:b:8Zf>1vqo?=a;297?6=8r.m<7lm;Id7?l3a2900e;>50;9lfd<722wx?84?:3y]70=:939>7p}<6;296~X4>27:>l490:pg=<72;qUo5521;a;?xubm3:1?vPje:?2>61<588j68h4}r31=?6=:r7:6>84=00b>gg0}Kn?0:wE89;|Ne3?4|f8?o6;:4$07`>5=z,o:15l5f3683>>id03:17d=::188m60=831b??4?::`2>5<2290;w)h?:4c8Lc2{n;80;66g<2;29?l572900e>950;9lg=<722wij84?:083>5}#n90>o6Fi4:m6f?6=3ty897>52z\01>;62::0q~=9:181[5134;1?<5rsc294?4|5o?19o521;11?xue93:1>v3>:b:8Zf>1vqo=81;291?4==rFm:7?tH748yI`02;qe=8j5679'50e=82w/j=46b:k03?6=3fi36=44i2794?=n;?0;66g<2;29?g7=83?1<7>t$g291d=On=1Gj;4>{%47>4313tc8=7>5;h11>5<>id03:17pli5;295?6=8r.m<7;l;Id7?j3e2900q~=::181[5234;1?=5rs2494?4|V:<01<4<1:pf5<72;q6j84:b:?2>645<22;0>wAh9:0yK23=zDo=1nv`>5e866>"6=k0:855+142972=#9<81o55+15g970=i9=k1=6*>4g802>h6:186>7<2sEl=66=44i2494?=n;;0;66l>:186>5<7s-l;68o4Hg68Hc0=9r.=87?:6:j74<722c8>7>5;h13>5<>{en<0;6<4?:1y'b5<2k2Bm86a:b;29?xu4=3:1>vP<5:?2>6650;0x9c3==k16=7==;|qa5?6=:r7:6n64^b:8yvd52909wS=8;<3972=zuk;9m7>53;294~"a83hi7Eh;;h7e>5<>{t;<0;6?uQ349>5?523ty8:7>52z\02>;6:h0=<6s|c983>7}Yk116=7m7;|qfa?6=;rTni63>:258944f21;3:!`728h27b?n1;29?l7213:17d?;1;29?l72?3:17d8m:188m4?02900e<76:188m4?e2900e<7k:188f4ge29086=4?{%d3>73<@o>0e8h50;9j25<722eim7>5;|`2ef<72:0;6=u+f1811>Na<2c>j7>5;h43>5<5<4290;w)h?:378Lc2>iei3:17pl>b183>6<729q/j=4=5:Je0>o2n3:17d8?:188kgg=831vn5<7s-l;6?;4Hg68m0`=831b:=4?::mae?6=3th:n94?:283>5}#n909:6Fi4:k6b?6=3`<;6=44occ94?=zj8h>6=4<:183!`72kh0Dk:4i4d94?=n>90;66ama;29?xd6j?0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~f4d029086=4?{%d3>gd<@o>0e8h50;9j25<722eim7>5;|`2f=<72:0;6=u+f1812>Na<2c>j7>5;h43>5<5<4290;w)h?:c`8Lc2>iei3:17pl>a483>6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vn5<7s-l;6ol4Hg68m0`=831b:=4?::mae?6=3th:m:4?:283>5}#n909:6Fi4:k6b?6=3`<;6=44occ94?=zj8k36=4<:183!`72kh0Dk:4i4d94?=n>90;66ama;29?xd6i00;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~f4gf29086=4?{%d3>gd<@o>0e8h50;9j25<722eim7>5;|q2e4<72;qU=l?4=0cb>gg57>53z\21<=:9hh19k521`;91c=z{8>:6=4={_375>;6ik0=<6s|14594?5|V8?<70?nc;7e?87f?3?m7p}9b;292~X1j27:mn490:?2e`<1827:n=490:?2f7<1827:n9490:p5<1=839pR<78;<3ba?3a34;j97;i;|q2=<<72:qU=474=0`3>0`<58h368h4}r3:f?6=;rT:5o521c091c=:9k<19k5rs0;g>5<4sW;2h63>b586b>;6j<0>j6s|1`094?4|58ki6oo4=0cb>0`52z?2efa286b>{t9k;1<7gg<58h<68h4}r3a7?6=:r7:n94ma:?2f0<182wxn=4?:3y>5g3=jh16=o85619~wg7=838p1v3>b98ae>;6i:0=<6s|b583>7}:9h91nl521`7925=z{k?1<7gg<58k=6;>4}r`5>5<5s4;j:7ln;<3b3?073tyi;7>52z?2e25d?=>91vo750;0x94g>2kk010c<9?:188m43>2900e<8::188m4302900e<78:188m4?>2900e<7m:188m4?c2900n<98:180>5<7s-l;6?;4Hg68m0`=831b:=4?::mae?6=3th:;54?:283>5}#n90996Fi4:k6b?6=3`<;6=44occ94?=zj8=j6=4<:183!`72;?0Dk:4i4d94?=n>90;66ama;29?xd6?j0;6>4?:1y'b5<5=2Bm86g:f;29?l072900coo50;9~f41b29086=4?{%d3>70<@o>0e8h50;9j25<722eim7>5;|`23c<72:0;6=u+f18af>Na<2c>j7>5;h43>5<5<4290;w)h?:348Lc2>iei3:17pl>8083>6<729q/j=4mb:Je0>o2n3:17d8?:188kgg=831vn<6=:180>5<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3th:4>4?:283>5}#n90in6Fi4:k6b?6=3`<;6=44occ94?=zj8=96=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xd6?=0;6>4?:1y'b57?<@o>0e8h50;9j25<722c==7>5;n`b>5<53;294~"a83hi7Eh;;h7e>5<>{t9>:1<73hj7p}>5883>6}Y9<301<98:4d894122<16=:95619>52>=>916=:o5619>52e=>916=:k5619~w4302909wS?:7:?230<182wx=4950;1xZ4?034;<47;i;<346?3a3ty:544?:2y]50`53z\2=g=:9>i19k5219291c=z{83o6=4<{_3:`>;6?l0>j63>7g86b>{t9>;1<7gg<58==68h4}r347?6=:r7:;54ma:?231<2n2wx=:750;0x941f2kk01<6<:4d8yv70j3:1>v3>7b8ae>;6080>j6s|16f94?4|58=n6oo4=05e>365=7=jh16=5<5619~wg5=838p1<6=:cc894>42?:0q~l;:18187?;3hj70?82;43?xue=3:1>v3>738ae>;6?=0=<6s|b783>7}:9>>1nl52167924=z{k=1<7gg<58==6;>4}|`2`a<7200:=7?6{%d3>4bc3f;h97>5;h36=?6=3`;?>7>5;h363?6=3`5<5<5<4290;w)h?:378Lc2>iei3:17pl>d183>6<729q/j=4=5:Je0>o2n3:17d8?:188kgg=831vn5<7s-l;6?;4Hg68m0`=831b:=4?::mae?6=3th:h94?:283>5}#n90996Fi4:k6b?6=3`<;6=44occ94?=zj8n=6=4<:183!`72;?0Dk:4i4d94?=n>90;66ama;29?xd6l10;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~f4b>29086=4?{%d3>gd<@o>0e8h50;9j25<722eim7>5;|`2`d<72:0;6=u+f1812>Na<2c>j7>5;h43>5<5<4290;w)h?:c`8Lc2>iei3:17pl>db83>6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vn5<7s-l;6ol4Hg68m0`=831b:=4?::mae?6=3th:o44?:283>5}#n909:6Fi4:k6b?6=3`<;6=44occ94?=zj8ij6=4<:183!`72kh0Dk:4i4d94?=n>90;66ama;29?xd6kk0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~f4ed29086=4?{%d3>gd<@o>0e8h50;9j25<722eim7>5;|`2ga<72:0;6=u+f1812>Na<2c>j7>5;h43>5<5<4290;w)h?:c`8Lc2>iei3:17p}>c483>7}Y9j?01589>5f`==o16=nj55g9~w4252909wS?;2:?2gc<182wx=8950;1xZ43034;o<7;i;<3`f?3a3ty=o7>56z\5g>;6l90=<63>d3854>;6l=0=<63>d7854>;6l10=<6s|18594?5|V83<70?k2;7e?87d13?m7p}>9883>6}Y903015ag==o1v<7k:180[7>l27:h54:f:?2`<<2n2wx=n850;0x94ea2kk01v3>d18ae>;6kj0>j6s|1e394?4|58n96oo4=0ab>0`52z?2`1d8854>{tj90;6?u21e;9fd=:9mk1:=5rsc394?4|58nj6oo4=0fa>365f1=jh16=n75619~wg3=838p1v3>cc8ae>;6kj0=<6s|b983>7}:9ji1nl521bf925=z{k31<7gg<58in6;>4}|`15<5<5<5<90;66ama;29?xd5080;6>4?:1y'b5<5=2Bm86g:f;29?l072900coo50;9~f7>429086=4?{%d3>73<@o>0e8h50;9j25<722eim7>5;|`1<0<72:0;6=u+f1811>Na<2c>j7>5;h43>5<5<4290;w)h?:348Lc2>iei3:17pl=8983>6<729q/j=4mb:Je0>o2n3:17d8?:188kgg=831vn?66:180>5<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3th94l4?:283>5}#n90in6Fi4:k6b?6=3`<;6=44occ94?=zj;2i6=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xd50j0;6>4?:1y'b570<@o>0e8h50;9j25<722eim7>5;|`13a<72:0;6=u+f18af>Na<2c>j7>5;h43>5<5<3290;w)h?:3;8Lc2>o193:17bln:188yg40n3:1?7>50z&e4?de3Al?7d;i:188m36=831dnl4?::p62?=838pR?96;<04b?df3ty:944?:2y]50?<5;2;68h4=35f>0`56z\223=::1:1:=52293925=::191:=52297925=::1=1:=5rs074>5<5sW;>;63=7d854>{t90=1<7=t^0;4?84?93?m70<8b;7e?xu6100;6>uQ18;897>42j3:1?vP>9c9>6=3==o16>5755g9~w4?c2908wS?6d:?1<2<2n279454:f:p62g=838p1?6?:cc8971a2{t:181<7gg<5;2h68h4}r0;0?6=:r79484ma:?15850;0x97>02kk01?67:728yvd72909w0<78;`b?84?13<;7p}m1;296~;5000im63=8`854>{tj;0;6?u229c9fd=::1h1:=5rsc194?4|5;2i6oo4=3:`>3662b=jh16>:k5609~wg1=838p1?9j:cc8971a2?:0qpl>f683><<693;2w)h?:0d4?j7cn3:17d?:9;29?l73;3:17d?:7;29?l72m3:17d?67;29?l7>13:17d?6b;29?l7>l3:17o?j9;297?6=8r.m<7<:;Id7?l3a2900e;>50;9lfd<722wi=ho50;194?6|,o:1>85Gf59j1c<722c=<7>5;n`b>5<53;294~"a838>7Eh;;h7e>5<>{e9lo1<7=50;2x c6=:<1Cj95f5g83>>o183:17bln:188yg7a83:1?7>50z&e4?423Al?7d;i:188m36=831dnl4?::a5c4=8391<7>t$g2963=On=1b9k4?::k54?6=3fhj6=44}c3e7?6=;3:15279Kb1=n=o0;66g90;29?jdf2900qo?i5;297?6=8r.m<7lm;Id7?l3a2900e;>50;9lfd<722wi=k850;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<53;294~"a83hi7Eh;;h7e>5<>{e9l91<7=50;2x c6=:?1Cj95f5g83>>o183:17bln:188yg7b<3:1?7>50z&e4?de3Al?7d;i:188m36=831dnl4?::a5`3=8391<7>t$g2963=On=1b9k4?::k54?6=3fhj6=44}c3f2?6=;3:15279Kb1=n=o0;66g90;29?jdf2900qo?j8;297?6=8r.m<7lm;Id7?l3a2900e;>50;9lfd<722wx=ih50;0xZ4ba34;n47ln;|q21<<72:qU=874=0g:>0`<58o<68h4}r377?6=:rT:8>521d;925=z{8?<6=4<{_363>;6mh0>j63>e486b>{t99683>6}Y90=015c0==o1v<7m:180[7>j27:j=4:f:?2b1<2n2wx=4j50;1xZ4?c34;m>7;i;<3e7?3a3ty:i=4?:3y>5`?=jh16=h655g9~w4c52909w0?ja;`b?87b>3?m7p}>ec83>7}:9li1nl521d691c=z{8oo6=4={<3fa?df34;n=7;i;|q2ac<72;q6=k>5b`9>5c3==o1v:18187a:3hj70?i3;43?xue83:1>v3>f28ae>;6n=0=<6s|b083>7}:9o>1nl521g7925=z{k81<7gg<58l=6;>4}r`0>5<5s4;m:7ln;<3f5?073tyi87>52z?2a4490:pf0<72;q6=h=5b`9>5`2=>91vo850;0x94c32kk013<;7p}m8;296~;6m?0im63>e6854>{tj00;6?u21d59fd=:9l21:=5r}c0b1?6=03;;6<9t$g296d35<5<5<5<90;66ama;29?xd5100;6>4?:1y'b5<5=2Bm86g:f;29?l072900coo50;9~f7?e29086=4?{%d3>73<@o>0e8h50;9j25<722eim7>5;|`1=a<72:0;6=u+f1811>Na<2c>j7>5;h43>5<5<4290;w)h?:348Lc2>iei3:17pl=a183>6<729q/j=4mb:Je0>o2n3:17d8?:188kgg=831vn?o>:180>5<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3th9m?4?:283>5}#n90in6Fi4:k6b?6=3`<;6=44occ94?=zj;k86=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xd5i=0;6>4?:1y'b570<@o>0e8h50;9j25<722eim7>5;|`1=6<72:0;6=u+f18af>Na<2c>j7>5;h43>5<5<4290;w)h?:348Lc2>iei3:17pl=9483>6<729q/j=4mb:Je0>o2n3:17d8?:188kgg=831vn?79:180>5<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3th95:4?:283>5}#n90in6Fi4:k6b?6=3`<;6=44occ94?=z{;2m6=4={_0;b>;51>0im6s|14;94?5|V8?270<68;7e?84>>3?m7p}>6683>3}Y9?=01?77:72897?>2?:01?7m:72897?c2?:01?7i:728yv72?3:1?vP>569>6<2==o16>4;55g9~w4?02908wS?67:?1=<<2n2795<4:f:p50`<5;k:68h4}r3:`?6=;rT:5i5228d91c=::h:19k5rs3;3>5<5s48247ln;<0:3?3a3ty95?4?:3y>64=55g9~w7?f2909w0<6b;`b?84f<3?m7p}=9b83>7}::0n1nl522`091c=z{;3n6=4={<0:b?df348j<78?;|qa4?6=:r79m=4ma:?1e4<182wxn<4?:3y>6d7=jh16>l<5619~wg4=838p1?o=:cc897g42?:0q~l<:18184f;3hj70v3=a58ae>;5180=<6s|b483>7}::0;1nl52281925=z{k<1<7gg<5;3?6;>4}r`4>5<5s48287ln;<0:1?073tyi47>52z?1=0485b`9>6<1=>91vqo<>1;29=?76283p(k>52038k4`>2900e<;6:188m4502900e<;8:188m43a2900e<78:188m4?>2900e<7m:188m4?c2900n?><:180>5<7s-l;6?;4Hg68m0`=831b:=4?::mae?6=3th9<94?:283>5}#n90996Fi4:k6b?6=3`<;6=44occ94?=zj;:=6=4<:183!`72;?0Dk:4i4d94?=n>90;66ama;29?xd5810;6>4?:1y'b5<5=2Bm86g:f;29?l072900coo50;9~f76f29086=4?{%d3>73<@o>0e8h50;9j25<722eim7>5;|`14f<72:0;6=u+f1812>Na<2c>j7>5;h43>5<5<4290;w)h?:c`8Lc2>iei3:17pl=0d83>6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vn?>i:180>5<7s-l;6ol4Hg68m0`=831b:=4?::mae?6=3th9==4?:283>5}#n909:6Fi4:k6b?6=3`<;6=44occ94?=zj8li6=4<:183!`72kh0Dk:4i4d94?=n>90;66ama;29?xd6nm0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~f4`b29086=4?{%d3>gd<@o>0e8h50;9j25<722eim7>5;|`2bc<72:0;6=u+f1812>Na<2c>j7>5;h43>5<5<4290;w)h?:c`8Lc2>iei3:17pl=0083>6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vn?>=:180>5<7s-l;6ol4Hg68m0`=831b:=4?::mae?6=3ty:j44?:3y]5c?<5;:96oo4}r36=?6=;rT:945221191c=::9;19k5rs014>5<5sW;8;63=02854>{t9<=1<7=t^074?847<3?m70?if;7e?xu6=o0;6;uQ14d897632?:01?>9:728976?2?:01?>n:728976d2?:0q~?67;297~X61>16>=855g9>5cb==o1v<76:180[7>1279<54:f:?155<2n2wx=4l50;1xZ4?e348;m7;i;<03a?3a3ty:5i4?:2y]50`52z?146;:cc897672fd86b>{t:9=1<7gg<58li68h4}r03=?6=:r79=l50;0x976d2kk01?>k:728yvd72909w0{tj;0;6?u221d9fd=::8:1:=5rsc194?4|5;;;6oo4=0da>365cc=jh16=kh5619~wg1=838p1v3=008ae>;58;0=<6srb3`e>5<>28;1=4u+f181fc=h:h=1<75f14;94?=n9:<1<75f14594?=n9?21<75f18594?=n9031<75f18`94?=n90n1<75m2c394?5=83:p(k>5249Kb1=n=o0;66g90;29?jdf2900qo50;9lfd<722wi>o:50;194?6|,o:1>85Gf59j1c<722c=<7>5;n`b>5<53;294~"a838>7Eh;;h7e>5<>{e:k21<7=50;2x c6=:<1Cj95f5g83>>o183:17bln:188yg4ei3:1?7>50z&e4?413Al?7d;i:188m36=831dnl4?::a6gd=8391<7>t$g29fg=On=1b9k4?::k54?6=3fhj6=44}c0ag?6=;3:15bc9Kb1=n=o0;66g90;29?jdf2900qo50;9lfd<722wi>l750;194?6|,o:1no5Gf59j1c<722c=<7>5;n`b>5<53;294~"a838=7Eh;;h7e>5<>{e:hi1<7=50;2x c6=jk1Cj95f5g83>>o183:17bln:188yg4fl3:1?7>50z&e4?413Al?7d;i:188m36=831dnl4?::a6dc=8391<7>t$g29fg=On=1b9k4?::k54?6=3fhj6=44}c0bb?6=;3:15bc9Kb1=n=o0;66g90;29?jdf2900q~16>o>5b`9~w43>2908wS?:9:?1f4<2n279mk4:f:p560=838pR<=9;<0a5?073ty:9:4?:2y]501<5;h968h4=3cg>0`56z\22==::k81:=522c6925=::k<1:=522c:925=::kk1:=5rs0;4>5<4sW;2;63=b586b>;5ik0>j6s|18;94?5|V832709c83>6}Y90h01?l7:4d897dd2oo55g9>6gd==o1v?o7:18184e93hj705<5s48i87ln;<0bg?3a3ty9n84?:3y>6g0=jh16>l755g9~w7d02909w07}::kk1nl522c`925=z{k:1<7gg<5;hh6;>4}r`2>5<5s48io7ln;<0a`?073tyi>7>52z?1faok5b`9>6d?=>91vo:50;0x97g>2kk01?om:728yvd22909w0{tj>0;6?u22`f9fd=::ho1:=5rsc:94?4|5;kn6oo4=3ce>369z&e4?45j2e9=>4?::k21<<722c:?54?::k212<722c::=4?::k2=2<722c:544?::k2=g<722c:5i4?::`15a<72:0;6=u+f1811>Na<2c>j7>5;h43>5<5<4290;w)h?:378Lc2>iei3:17pl=2183>6<729q/j=4=5:Je0>o2n3:17d8?:188kgg=831vn?<=:180>5<7s-l;6?;4Hg68m0`=831b:=4?::mae?6=3th9>94?:283>5}#n90996Fi4:k6b?6=3`<;6=44occ94?=zj;8=6=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xd5:>0;6>4?:1y'b570<@o>0e8h50;9j25<722eim7>5;|`16<<72:0;6=u+f18af>Na<2c>j7>5;h43>5<5<4290;w)h?:348Lc2>iei3:17pl=1483>6<729q/j=4mb:Je0>o2n3:17d8?:188kgg=831vn??8:180>5<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3th9=54?:283>5}#n90in6Fi4:k6b?6=3`<;6=44occ94?=zj;;26=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xd59h0;6>4?:1y'b570<@o>0e8h50;9j25<722eim7>5;|`15f<72:0;6=u+f18af>Na<2c>j7>5;h43>5<5<5sW8:?63=1b8ae>{t9<31<7=t^07:?846l3?m70<>b;7e?xu6;10;6?uQ12:8977c2?:0q~?:7;297~X6=>16>64?==o1v<8?:185[718279=h490:?165<18279>?490:?161<18279>;490:p5<1=839pR<78;<014?3a348:;7;i;|q2=<<72:qU=474=301>0`<5;8j68h4}r3:f?6=;rT:5o5223691c=::;219k5rs0;g>5<4sW;2h63=2786b>;5:>0>j6s|20694?4|5;;o6oo4=33`>0`52z?15`{t:;91<7gg<5;8268h4}r011?6=:r79>;4ma:?162<182wxn=4?:3y>671=jh16>?65619~wg7=838p1?<7:cc8974>2?:0q~l=:18184513hj70<=a;43?xue;3:1>v3=2`8ae>;59<0=<6s|b583>7}::8?1nl52205925=z{k?1<7gg<5;;36;>4}r`5>5<5s48:47ln;<02=?073tyi;7>52z?15<64d=>91vo750;0x977e2kk01??l:728yxd5l00;644>1;3:!`72;n27b?3:17d?69;29?l7>j3:17d?6d;29?g4dj3:1?7>50z&e4?423Al?7d;i:188m36=831dnl4?::a6fe=8391<7>t$g2960=On=1b9k4?::k54?6=3fhj6=44}c0`a?6=;3:15249Kb1=n=o0;66g90;29?jdf2900qo50;9lfd<722wi>i:50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<53;294~"a83hi7Eh;;h7e>5<>{e:m<1<7=50;2x c6=:?1Cj95f5g83>>o183:17bln:188yg4c?3:1?7>50z&e4?de3Al?7d;i:188m36=831dnl4?::a6a>=8391<7>t$g2963=On=1b9k4?::k54?6=3fhj6=44}c0`7?6=;3:15279Kb1=n=o0;66g90;29?jdf2900qo50;9lfd<722wi>n950;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<53;294~"a83hi7Eh;;h7e>5<>{e:j31<7=50;2x c6=:?1Cj95f5g83>>o183:17bln:188yg4di3:1?7>50z&e4?de3Al?7d;i:188m36=831dnl4?::p6f7=838pR?m>;<0`e?df3ty:944?:2y]50?<5;ii68h4=3a:>0`52z\27g=::jh1:=5rs074>5<4sW;>;63=cb86b>;5k>0>j6s|17;94?0|V8<2700;6>uQ185897eb213:1?vP>989>6a6==o16>i655g9~w4?e2908wS?6b:?1`7<2n279h;4:f:p5nl5b`9>6fg==o1v?m;:18184dk3hj705<5s48o<7ln;<0`7?3a3ty9h<4?:3y>6a4=jh16>i955g9~w7b42909w0{tj80;6?u22e49fd=::m=1:=5rsc094?4|5;n<6oo4=3f;>364ma:?1g0<182wxn84?:3y>6f3=jh16>n85619~wg0=838p1?m9:cc897e02?:0q~l8:18184d?3hj70v3=c98ae>;5k00=<6s|b883>7}::j31nl522bc925=zuk8?97>59;32>4?|,o:1>9;4o30g>5<5<5<5<5<90;66ama;29?xd5;10;6>4?:1y'b5<5=2Bm86g:f;29?l072900coo50;9~f75f29086=4?{%d3>73<@o>0e8h50;9j25<722eim7>5;|`17f<72:0;6=u+f1811>Na<2c>j7>5;h43>5<5<4290;w)h?:378Lc2>iei3:17pl=4183>6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vn?:>:180>5<7s-l;6ol4Hg68m0`=831b:=4?::mae?6=3th98?4?:283>5}#n909:6Fi4:k6b?6=3`<;6=44occ94?=zj;>86=4<:183!`72kh0Dk:4i4d94?=n>90;66ama;29?xd5<=0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~f74a29086=4?{%d3>gd<@o>0e8h50;9j25<722eim7>5;|`174<72:0;6=u+f1812>Na<2c>j7>5;h43>5<5<4290;w)h?:c`8Lc2>iei3:17pl=3283>6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vn?=;:180>5<7s-l;6ol4Hg68m0`=831b:=4?::mae?6=3th9?84?:283>5}#n909:6Fi4:k6b?6=3`<;6=44occ94?=zj;9=6=4<:183!`72kh0Dk:4i4d94?=n>90;66ama;29?xu5:m0;6?uQ23f897512kk0q~?:9;297~X6=016>>955g9>663==o1v<=n:181[74i279?:490:p501=839pR<;8;<0036<5;9j6;>4=31`>36<5;9n6;>4=363>3653z\2=2=:::k19k5222391c=z{8326=4<{_3:=>;5;j0>j63=4586b>{t90h1<7=t^0;a?844m3?m70<;2;7e?xu61m0;6>uQ18f897272:4d8yv45m3:1>v3=368ae>;5;?0>j6s|22294?4|5;936oo4=317>0`52z?17d{t::l1<7gg<5;>:6;>4}r`3>5<5s48?=7ln;<076?073tyi=7>52z?107490:pf7<72;q6>9=5b`9>612=>91vo=50;0x97232kk01?{tj?0;6?u22209fd=:::91:=5rsc594?4|5;986oo4=317>3647=90q/j=4=f29l6ad=831b=8750;9j56e=831b=8950;9j53g=831b=4950;9j5h;50;194?6|,o:1>85Gf59j1c<722c=<7>5;n`b>5<53;294~"a838>7Eh;;h7e>5<>{e:l21<7=50;2x c6=:<1Cj95f5g83>>o183:17bln:188yg4bi3:1?7>50z&e4?423Al?7d;i:188m36=831dnl4?::a6`e=8391<7>t$g2960=On=1b9k4?::k54?6=3fhj6=44}c0fa?6=;3:15bc9Kb1=n=o0;66g90;29?jdf2900qo50;9lfd<722wi>k?50;194?6|,o:1no5Gf59j1c<722c=<7>5;n`b>5<7>53;294~"a838=7Eh;;h7e>5<>{e:mn1<7=50;2x c6=jk1Cj95f5g83>>o183:17bln:188yg4cn3:1?7>50z&e4?413Al?7d;i:188m36=831dnl4?::a6`6=8391<7>t$g29fg=On=1b9k4?::k54?6=3fhj6=44}c0f5?6=;3:15bc9Kb1=n=o0;66g90;29?jdf2900qo50;9lfd<722wi>h:50;194?6|,o:1no5Gf59j1c<722c=<7>5;n`b>5<52z\1`g=::l>1nl5rs07:>5<4sW;>563=e486b>;5m:0>j6s|12a94?4|V89h700;6>uQ145897c12:4d8yv71i3:1:vP>6`9>6`0=>916>h65619>6`g=>916>hm5619>6`c=>91v<78:180[7>?279i54:f:?1`c<2n2wx=4750;1xZ4?>348nm7;i;<0e6?3a3ty:5o4?:2y]50`53z\2=a=::lo19k522dd91c=z{;nh6=4={<0f1?df348n87;i;|q1``<72;q6>h85b`9>6`4==o1v?k8:18184b03hj705<5s48no7ln;<0e5?3a3ty9ii4?:3y>6`c=jh16>hh5619~wg6=838p1?ki:cc897`72?:0q~l>:18184a83hj70v3=f08ae>;5n;0=<6s|b283>7}::o81nl522ef925=z{k>1<7gg<5;nm6;>4}r`6>5<5s48oj7ln;<0f4?073tyi:7>52z?1a5h?5b`9>6`4=>91vo650;0x97c52kk01?k<:728yvd>2909w0=990:;v*i0;06`>i5<>0;66g>5883>>o6>;0;66g>5683>>o61>0;66g>9883>>o61k0;66g>9e83>>d5=90;6>4?:1y'b5<5=2Bm86g:f;29?l072900coo50;9~f73629086=4?{%d3>73<@o>0e8h50;9j25<722eim7>5;|`116<72:0;6=u+f1811>Na<2c>j7>5;h43>5<5<4290;w)h?:378Lc2>iei3:17pl=5683>6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vn?;7:180>5<7s-l;6ol4Hg68m0`=831b:=4?::mae?6=3th9944?:283>5}#n909:6Fi4:k6b?6=3`<;6=44occ94?=zj;?j6=4<:183!`72kh0Dk:4i4d94?=n>90;66ama;29?xd5=k0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~f73d29086=4?{%d3>gd<@o>0e8h50;9j25<722eim7>5;|`10<<72:0;6=u+f1812>Na<2c>j7>5;h43>5<5<4290;w)h?:c`8Lc2>iei3:17pl=4b83>6<729q/j=4=6:Je0>o2n3:17d8?:188kgg=831vn?:k:180>5<7s-l;6ol4Hg68m0`=831b:=4?::mae?6=3th98h4?:283>5}#n909:6Fi4:k6b?6=3`<;6=44occ94?=zj;>m6=4<:183!`72kh0Dk:4i4d94?=n>90;66ama;29?xu5<>0;6?uQ2558972a2kk0q~?:9;297~X6=016>8>55g9>61c==o1v<8=:185[71:2799=490:?114<182799>490:?110<182799:490:p501=839pR<;8;<07g?3a348?h7;i;|q2=2<72:qU=494=372>0`<5;>268h4}r3:=?6=;rT:545224191c=::5<4sW;2n63=5486b>;5=00>j6s|18f94?5|V83o70<:7;7e?84203?m7p}=4983>7}::<:1nl5225d91c=z{;>j6=4={<065?df348?n7;i;|q117<72;q6>8=5b`9>60e==o1v?;;:181842=3hj70<:a;7e?xu5=?0;6?u22459fd=::<21:=5rsc294?4|5;?36oo4=37:>36m78?;|qa6?6=:r799l4ma:?11g<182wxn>4?:3y>60d=jh16>8m5619~wg2=838p1?;l:cc8972>2?:0q~l::18184313hj70<;b;43?xue>3:1>v3=4c8ae>;57}::=i1nl5225f925=z{k21<7gg<5;>n6;>4}r`:>5<5s48?i7ln;<07b?073twi?=j50;;954<61r.m<7=?d:m1b0<722c:944?::k27a<722c:9:4?::k53?6=3`;2;7>5;h3:=?6=3`;2n7>5;h3:`?6=3k8mj7>53;294~"a838>7Eh;;h7e>5<>{e;9:1<7=50;2x c6=:<1Cj95f5g83>>o183:17bln:188yg57:3:1?7>50z&e4?423Al?7d;i:188m36=831dnl4?::a752=8391<7>t$g2960=On=1b9k4?::k54?6=3fhj6=44}c132?6=;3:15279Kb1=n=o0;66g90;29?jdf2900qo=?9;297?6=8r.m<7lm;Id7?l3a2900e;>50;9lfd<722wi?=o50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<53;294~"a83hi7Eh;;h7e>5<>{e;9i1<7=50;2x c6=:?1Cj95f5g83>>o183:17bln:188yg4a?3:1?7>50z&e4?de3Al?7d;i:188m36=831dnl4?::a6c?=8391<7>t$g2963=On=1b9k4?::k54?6=3fhj6=44}c0ee?6=;3:15279Kb1=n=o0;66g90;29?jdf2900qo50;9lfd<722wi>kj50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<53;294~"a83hi7Eh;;h7e>5<>{t:o?1<75883>6}Y9<301?hi:4d897`c2kh5619~w4302908wS?:7:?045<2n279jo4:f:p22<72?qU::52312925=:;981:=52316925=:;9<1:=5231:925=z{83<6=4<{_3:3>;48;0>j63=f886b>{t9031<7=t^0;:?857<3?m70=?c;7e?xu61k0;6>uQ18`896612>n:4d8yv7>l3:1?vP>9e9>75>==o16?=755g9~w7`12909w07}:;9:1nl522ga91c=z{:::6=4={<136?df348mm7;i;|q046<72;q6?=:5b`9>6c1==o1v>>::181857>3hj70=?b;7e?xu48>0;6?u231:9fd=:;931:=5rsc294?4|5::26oo4=22b>364?:3y>75e=jh16>k95619~wg2=838p1?h8:cc897`>2?:0q~l::18184a13hj703:1>v3=f`8ae>;5nk0=<6s|b683>7}::oh1nl522ga925=z{k21<7gg<5;lo6;>4}r`:>5<5s48mh7ln;<0ea?073twi>:=50;:9a?72s-l;6?9<;n06b?6=3`;>57>5;h350?6=3`;>;7>5;h3:3?6=3`;257>5;h3:f?6=3`;2h7>5;c052?6=;3:15249Kb1=n=o0;66g90;29?jdf2900qo<99;297?6=8r.m<7<:;Id7?l3a2900e;>50;9lfd<722wi>;l50;194?6|,o:1>85Gf59j1c<722c=<7>5;n`b>5<53;294~"a838=7Eh;;h7e>5<>{e:?o1<7=50;2x c6=jk1Cj95f5g83>>o183:17bln:188yg41n3:1?7>50z&e4?413Al?7d;i:188m36=831dnl4?::a626=8391<7>t$g29fg=On=1b9k4?::k54?6=3fhj6=44}c045?6=;3:15bc9Kb1=n=o0;66g90;29?jdf2900qo<91;297?6=8r.m<7<9;Id7?l3a2900e;>50;9lfd<722wi>;=50;194?6|,o:1no5Gf59j1c<722c=<7>5;n`b>5<54;294~"a83827Eh;;h7e>5<>iei3:17pl=6483>6<729q/j=4mb:Je0>o2n3:17d8?:188kgg=831v?;i:181[42n279:84ma:p50?=839pR<;6;<052?3a348=87;i;|q221<72?qU=;:4=345>36<5;<<6;>4=34:>36<5;4=34g>36;7>52z\212=::?>1:=5rs0;4>5<4sW;2;63=6686b>;5>80>j6s|18;94?5|V83270<99;7e?84093?m7p}>9c83>6}Y90h01?8m:4d8970a2;j55g9>63c==o1v?8?:181841>3hj70<95;7e?xu5>;0;6?u22759fd=::?919k5rs34;>5<5s48=57ln;<046?3a3ty9:l4?:3y>63d=jh16>:>55g9~w70d2909w0<9d;`b?841m3<;7p}m0;296~;5>l0im63=6g854>{tj80;6?u227d9fd=::>:1:=5rsc094?4|5;=;6oo4=352>3678?;|qa0?6=:r79;?4ma:?124<182wxn84?:3y>637=jh16>;=5619~wg0=838p1?8<:cc897032?;0q~l8:181841<3hj70<95;43?x{e;;=1<7751082=~"a8399;6a<0g83>>o6=00;66g>3d83>>o6=>0;66g98;29?l7>?3:17d?69;29?l7>j3:17d?6d;29?g5613:1?7>50z&e4?423Al?7d;i:188m36=831dnl4?::a74g=8391<7>t$g2960=On=1b9k4?::k54?6=3fhj6=44}c12g?6=;3:15249Kb1=n=o0;66g90;29?jdf2900qo==0;297?6=8r.m<7<:;Id7?l3a2900e;>50;9lfd<722wi??<50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<53;294~"a83hi7Eh;;h7e>5<>{e;;>1<7=50;2x c6=:?1Cj95f5g83>>o183:17bln:188yg55=3:1?7>50z&e4?de3Al?7d;i:188m36=831dnl4?::a770=8391<7>t$g2963=On=1b9k4?::k54?6=3fhj6=44}c125?6=;3:15279Kb1=n=o0;66g90;29?jdf2900qo=>4;297?6=8r.m<7lm;Id7?l3a2900e;>50;9lfd<722wi?<;50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<53;294~"a83hi7Eh;;h7e>5<>{e;8=1<7=50;2x c6=:?1Cj95f5g83>>o183:17bln:188yg5603:1?7>50z&e4?de3Al?7d;i:188m36=831dnl4?::p75`=838pR>>i;<120`52z\27`=:;831:=5rs074>5<4sW;>;63<1`86b>;49<0>j6s|6983>3}Y>116?74e=>916?776=>916??<5619~w4?02908wS?67:?05f<2n278=>4:f:p50`<5:8?68h4}r3:`?6=;rT:5i5233091c=:;;919k5rs233>5<5s49:57ln;<1274g=jh16?<855g9~w67e2909w0=>c;`b?856<3?m7p}<1e83>7}:;8o1nl5230391c=z{:;m6=4={<114?df349997;i;|q064<72;q6??<5b`9>775=>91vo>50;0x96442kk01><;:728yvd62909w0==4;`b?855=3<;7p}m2;296~;4:<0im63<27854>{tj:0;6?u23349fd=:;8;1:=5rsc694?4|5:;:6oo4=230>366=4={<127?df349:878?;|qa2?6=:r78=94ma:?050<182wxn:4?:3y>743=jh16?<85619~wg>=838p1>?9:cc896702?:0q~l6:181856?3hj70=>8;43?x{e:>21<7=52;6x c6=:>20c?9::188m43>2900e<;8:188f71129086=4?{%d3>71<@o>0e8h50;9j25<722eim7>5;|`132<72:0;6=u+f18af>Na<2c>j7>5;h43>5<5<5sW8<963=768ae>{t9<31<7=t^07:?840>3?m70<87;7e?xu6=>0;6?uQ145897112?:0q~<86;296~;5??0im63=76854>{zj:>:6=46:0395<}#n9088<5`33;94?=n9<31<75f12d94?=n9<=1<75f6883>>o61>0;66g>9883>>o61k0;66g>9e83>>d4;:0;6>4?:1y'b5<5=2Bm86g:f;29?l072900coo50;9~f65329086=4?{%d3>73<@o>0e8h50;9j25<722eim7>5;|`073<72:0;6=u+f1811>Na<2c>j7>5;h43>5<5<4290;w)h?:378Lc2>iei3:17pl<3`83>6<729q/j=4=5:Je0>o2n3:17d8?:188kgg=831vn>=l:180>5<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3th8?i4?:283>5}#n90in6Fi4:k6b?6=3`<;6=44occ94?=zj:9n6=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xd4;o0;6>4?:1y'b570<@o>0e8h50;9j25<722eim7>5;|`06g<72:0;6=u+f18af>Na<2c>j7>5;h43>5<5<4290;w)h?:348Lc2>iei3:17pl<2d83>6<729q/j=4mb:Je0>o2n3:17d8?:188kgg=831vn>5<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3th8?=4?:283>5}#n90in6Fi4:k6b?6=3`<;6=44occ94?=zj:9:6=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xd4;;0;6>4?:1y'b52909wS==9:?0773498?7;i;<105?3a3ty:?k4?:3y]56`<5:986;>4}r363?6=;rT:9:5232691c=:;;l19k5rs7;94?0|V?301>=;:72896512?:01>=7:728965f2?:01>=l:728yv7>?3:1?vP>969>760==o16??j55g9~w4?>2908wS?69:?07=<2n2788=4:f:p50`<5:9o68h4}r11e?6=:r78?>4ma:?077<2n2wx??m50;0x96532kk01>=?:4d8yv54=3:1>v3<378ae>;4:l0>j6s|32594?4|5:936oo4=20a>0`52z?07d=l:cc8965c2?:0q~l?:181854l3hj70=v3<3d8ae>;4;o0=<6s|b383>7}:;:l1nl52352925=z{k91<7gg<5:8i6;>4}r`7>5<5s499n7ln;<11`?073tyi97>52z?06ah490:pf3<72;q6??k5b`9>77`=>91vo950;0x964a2kk01>=?:728yvd?2909w0=<0;`b?85493<;7p}m9;296~;4;80im63<33854>{zj:?i6=46:0395<}#n9089o5`35194?=n9<31<75f15294?=n9<=1<75f6`83>>o61>0;66g>9883>>o61k0;66g>9e83>>d44?:1y'b5<5=2Bm86g:f;29?l072900coo50;9~f62b29086=4?{%d3>73<@o>0e8h50;9j25<722eim7>5;|`015<72:0;6=u+f1811>Na<2c>j7>5;h43>5<5<4290;w)h?:378Lc2>iei3:17pl<5583>6<729q/j=4=5:Je0>o2n3:17d8?:188kgg=831vn>;9:180>5<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3th89:4?:283>5}#n90in6Fi4:k6b?6=3`<;6=44occ94?=zj:?36=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xd4=00;6>4?:1y'b570<@o>0e8h50;9j25<722eim7>5;|`000<72:0;6=u+f18af>Na<2c>j7>5;h43>5<5<4290;w)h?:348Lc2>iei3:17pl<4983>6<729q/j=4mb:Je0>o2n3:17d8?:188kgg=831vn>:6:180>5<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3th88l4?:283>5}#n90in6Fi4:k6b?6=3`<;6=44occ94?=zj:>i6=4<:183!`72;<0Dk:4i4d94?=n>90;66ama;29?xd44?:1y'b5349?h7;i;<17f?3a3ty:8=4?:3y]516<5:>o6;>4}r363?6=;rT:9:5235g91c=:;=319k5rs7c94?0|V?k01>:j:72896372?:01>;=:72896332?:01>;9:728yv7>?3:1?vP>969>706==o16?9955g9~w4?>2908wS?69:?017<2n2789l4:f:p547;i;|q2=a<72:qU=4j4=275>0`<5:?<68h4}r170?6=:r788i4ma:?00f<2n2wx?9850;0x962b2kk01>:n:4d8yv53n3:1>v3<518ae>;4<10>j6s|34394?4|5:?96oo4=266>0`?7>52z?011;9:cc896302?:0q~l?:181852?3hj70=:8;43?xue93:1>v3<598ae>;4=00=<6s|b383>7}:;<31nl5234c925=z{k91<7gg<5:>>6;>4}r`7>5<5s49?97ln;<173?073tyi97>52z?00271?=>91vo950;0x962>2kk01>:n:728yvd?2909w0=;a;`b?853j3<;7p}m9;296~;4{zj89?6=48:28:!`7289?7b?<0;29?l72?3:17d;k:188m44d2900e;h50;9j726=831b:i4?::`274<72<0;6=u+f18`5>Na<2c>j7>5;h43>5<>iei3:17pl>3383>6<729q/j=4md:Je0>o2n3:17d8?:188kgg=831vn<=<:180>5<7s-l;6ol4Hg68m0`=831b:=4?::mae?6=3ty:?=4?:3y]566<58986oo4}r363?6=:rT:9:52123924=z{?55g9~w3`=838pR;h4=011>3652z\035=:9:819k5rs7f94?4|V?n01<=>:708yv7493:1>v3>308ae>;6;:0>j6s|12094?4|58996oo4=010>365}#n909i6Fi4:k6b?6=3`<;6=44i7394?=n>;0;66g93;29?jdf2900qo?;5;297?6=8r.m<7<9;Id7?l3a2900e;>50;9lfd<722wi=8o50;194?6|,o:1>;5Gf59j1c<722c=<7>5;n`b>5<56;294~"a838h7Eh;;h7e>5<>o1:3:17d8<:188kgg=831vn<6j:180>5<7s-l;6?84Hg68m0`=831b:=4?::mae?6=3th:5=4?:483>5}#n909n6Fi4:k6b?6=3`<;6=44i7394?=n>;0;66ama;29?xd6jm0;684?:1y'b55;|`2fc<72=0;6=u+f18ab>Na<2c>j7>5;h43>5<>{e9081<7:50;2x c6=:11Cj95f5g83>>o183:17d8>:188kgg=831vn:180>5<7s-l;6ol4Hg68m0`=831b:=4?::mae?6=3th:594?:283>5}#n909:6Fi4:k6b?6=3`<;6=44occ94?=zj8i86=4<:183!`72kh0Dk:4i4d94?=n>90;66ama;29?xd61?0;6>4?:1y'b5<5>2Bm86g:f;29?l072900coo50;9~f4??290?6=4?{%d3>7?<@o>0e8h50;9j25<722c==7>5;n`b>5<53;294~"a838>7Eh;;h7e>5<>{e90i1<7;50;2x c6=:h1Cj95f5g83>>o183:17d8>:188m34=831dnl4?::a5t$g296a=On=1b9k4?::k54?6=3`<:6=44i7094?=n>:0;66ama;29?xd6>m0;694?:1y'b5<512Bm86g:f;29?l072900e;?50;9lfd<722wi=5650;194?6|,o:1nn5Gf59j1c<722c=<7>5;n`b>5<54;294~"a83837Eh;;h7e>5<>iei3:17pl>8`83>6<729q/j=4mb:Je0>o2n3:17d8?:188kgg=831vn>6>:185>5<7s-l;6n=4Hg68m0`=831b:=4?::k55?6=3`<96=44i7194?=hjh0;66sm39194?5=83:p(k>5be9Kb1=n=o0;66g90;29?jdf2900qo=8d;297?6=8r.m<7<:;Id7?l3a2900e;>50;9lfd<722wi?5;50;794?6|,o:1o=5Gf59j1c<722c=<7>5;h42>5<>{e;?21<7850;2x c6=k:1Cj95f5g83>>o183:17d8>:188m34=831b:>4?::mae?6=3th8:o4?:283>5}#n90996Fi4:k6b?6=3`<;6=44occ94?=zj:90;66g91;29?jdf2900qo=83;297?6=8r.m<7<:;Id7?l3a2900e;>50;9lfd<722wi?:950;694?6|,o:1nh5Gf59j1c<722c=<7>5;h42>5<5<5290;w)h?:778Lc2>{e91<1<7<50;2x c6=><1Cj95f5d83>>iei3:17pl<7g83>7<729q/j=495:Je0>o2m3:17bln:188yg51>3:1>7>50z&e4?023Al?7d;j:188kgg=831vn>8k:181>5<7s-l;6;;4Hg68m0c=831dnl4?::a723=8381<7>t$g2920=On=1b9h4?::mae?6=3th:>k4?:383>5}#n90=96Fi4:k6a?6=3fhj6=44}rd0>5<6jrTm?63>8b801>;6>k08963<7c801>;6k=08963>8c801>;6ll08963=8d801>;6n108963=a7801>;59;08963=c1801>;5:j08963=d`801>;5;5=l08963<0d801>;4=j08963=75801>;4:108963<43801>;6;<08963>59801>;4>008963<70801>;6:m0896s|5e83>=}Y=m16=>:55e9>50g=>916=oj5639>5916=;j5609>5=>==o16?5?5639~w6242909w0=;2;14?852j39??6s|33;94?4|5:836>94=262>64>3ty875c=;>16??9531d8yv7213:1=nu214:9a`=:9;n1ih521c;950?<582?6<;6;<3g`?7212794i4>589>5c1=9<301?o::07:?84693;>563=bg821<=::;h1=874=3f:>43>348?97?:9:?1b6<6=016>8j514;8966c28?270<83;36=>;4:>0:945226:950?<5:>:6<;6;<16f?721278:9491:?200<2n27:9l4ma:?2=3<2n278484:f:?02c<2n278;:4:f:p7=6=839p1>6>:cc8961c29<:4d8yv5?:3:1?v3<828ae>;4?m0=<63<6c86b>{t;1>1<7gg<5:=m68k4}r153?6=:r78:54ma:?023<2m2wx>k;50;0x97`32:=01>>k:3d6?xu6<=0;6?u21279aa=:9=?1nl5rs3fa>5<5s48om7=8;<0e7?4cj2wx?:>50;cx96162j201<=;:253?87>83<:70?md;42?87en3<:70?68;7e?87>k3<:70?6e;40?85?93<:70=98;7e?xu5k80;6?u22b2972=::m31>n?4}r3;1?6=?r7::o4<6:?22794h4<6:?103<4>2799h4<6:?131<4>27:4;4ma:p724=838p1>9<:cc896102?;0q~6287:708 `e=>l1eio4?;|q15k5369>6d3=:1l0q~<89;296~;60k08;63=8e813<=z{8=;6=4={<35f?5034;387?80:p5=b=838p1<6j:cc894e42c086b>{t90;1<7gg<58i:6;>4}r3:7?6=:r7:594ma:?2g6<182wx=4;50;0x94?12kk01?3:1=:u237;972=:;>;1?:521c;95<1<582?6<78;<3g`?7>?2794i4>969>5c1=90=01?o::0;4?84693;2;63=bg82=2=::;h1=494=3f:>4?0348?97?67:?1b6<61>16>8j51858966c283<70<83;3:3>;4:>0:5:5235395<1<5:?i6<78;<150?0734;247ln;<1;1?063ty:544?:06x961e2:=01de82=<=::1n1=474=0d4>4?>348j97?69:?154<61016>oh518;8974e283270;5<<0:54522g1951279;>4>989>771=90301>:>:0;:?852j3;2563>9`8ae>{t90h1<7?<{<3a=?7>j27:494>9c9>5ab=90h01?6k:0;a?87a?3;2n63=a482=g=::8;1=4l4=3`e>4?e3489n7?6b:?1`<<61k16>9;518`897`4283i70<:d;3:f>;48m0:5o5226195j2789o4>9c9>5l27:j:4>9e9>6d3=90n01??>:0;g?84en3;2h63=2c82=a=::m31=4j4=366>4?c348m?7?6d:?11a<61m16?=j518f89714283o70==7;3:`>;4<80:5i5234`952:<01>8k:cc8yv0c290nwS8k;<15=?e?34;8878k;<150?0434;3i7;i;<3:4?3a34;2>7;i;<3:e?3a34;2o78=;<3:a?3a34;=h78?;<1;5?043493?7;i;<15{t;?91<7`b<5:9;:181850939=70=85;`b?xu6:j0;6iu213f9g==:9:>1=?m4=07b>0`<583;6;<4=0`g>0`<58hm68h4=0;;>37<583h6;>4=0;f>37<5836<5:2:6;>4=24;>3652z?0326b83>7}:9?n1nl5219c91c=z{8985369>60b=:==0q~?<0;296~;6;=0:?=5213d91`=z{;8o6=4={<01g?50348?97<=d:p645=838p1??=:258974e2;;87p}<6d83>7}:;?l1nl5237f91`=z{8hj6=4j{<3;g?5134;h87=9;<3ga?5134;m47=9;<0b2?51348:>7=9;<0`4?513489o7=9;<0ge?51348m87=9;<13a?51349947=9;<176?5134;in7ln;|q2b<<72;q6=k65369>647=9o30q~?77;296~;6010im63>6g854>{t;>o1<760<5:=m6oo4}r3;=?6=:r7:4l4ma:?2<3<2m2wx=ih50;0x94bb2:=015<6nr7:954<6:?21=569>5=2=9<=01;63>f68212=::h?1=894=332>430348ij7?:7:?16g<6=>16>i751458972228?<70;5=m0:9:5231f9501<5;=86<;8;<113?72?279;54>569>717=9<=01>;m:074?874<3;>;63<6586b>;6<<0=<63>8d854>;61=0>j63>6g86b>;40<0=<63<69857>;4>o0=<63<76854>{t9;o1<760<588m6oo4}r3`1?6=:r7:o94<7:?2`a<6k<1v91:=5rs0a3>5<4s4;h=7ln;<3:0?0734;=j78>;|q2g7<72;q6=n=5b`9>5<0=>91v>8::181852k39=70=96;`b?xu4>h0;6?u237`9fd=:;?l1:<5rs0c2>5<5s4;3o7=8;<3a=?7f92wx=;:50;0x97132j201?9<:047?!cd28<87ckm:19~w4052909w0<:e;a;?842l3;=>6*jc;357>hbj3;0q~?91;296~;55aec81?xu6>90;6?u223a9g==::;h1=;>4$da953543a3-oh6<8<;oga>1=z{8?n6=4={<3e5<4sW1o5521c;92g=#mj0=i6`jb;18yv0f2908wS8n;<3;g?e?349>n78n;%g`>3c6}Y>016?9<5c99>717=>01/in49e:lff?3hbj3=0q~?9a;296~;5n=0h463=f2822d=#mj0::>5aec8`?xu6>00;6?u22ec9g==::m31=;74$da953540?3-oh6<8<;oga>`=z{8<<6=4={<0b2?e?348j97?97:&fg?71;2dnn7h4}r352?6=:r794h4l8:?1?1/in4>629mag<682wx=;;50;0x94>e2j201<6;:046?!cd28<87ckm:038yvca2909wSki;<35f?e?3ty:?l4?:3y>563=km16>9;512c8 `e=9:30bhl50:p56>=838p1<=::b`8974e28937)kl:01:?kce281v<=8:181874=3ij70<>1;303>"bk3;856`jb;08yv73;3:1>v3>348g3>;6n>0:8>5+eb827<=imk087p}>4383>7}:9:?1h;521ef9514<,li1=>74nd`90>{t9=;1<7a3<58h26<:>;%g`>45>3goi685rs063>5<5s4;897j;;<16f?7382.no7?<9:lff?052z?2703g9'af<6;01eio48;|q27`<72;q6=>;5d39>771=9:o0(hm512;8j`d=02wx=>j50;0x94522m:01>>k:01g?!cd28927ckm:89~w45d2909w0?<5;ae?84a;3;8o6*jc;30=>hbj3k0q~?84$da956?35<,li1?;?4nd`94>{t;?:1<7a6<58k;6;<4$da973737<,li1?;?4nd`96>{t;fc<58k;6;>4$da97370`<,li1?;?4nd`90>{zutwKLNuie087=351>l9vLMLt0|BCT~{GH \ No newline at end of file diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.pad b/60hz_Divider/code/xilinx/cpld_countertest10/counta.pad new file mode 100644 index 0000000..0851875 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.pad @@ -0,0 +1,73 @@ +Release 8.1i - Fit P.20131013 +Copyright(c) 1995-2003 Xilinx Inc. All rights reserved + + 8- 4-2020 0:40AM + +NOTE: This file is designed to be imported into a spreadsheet program +such as Microsoft Excel for viewing, printing and sorting. The pipe '|' +character is used as the data field separator. +This file is also designed to support parsing. + +Input file: counta.ngd +output file: counta.pad +Part type: xc9572xl +Speed grade: -5 +Package: vq44 + +Pinout by Pin Number: + +-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| +Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|{blank}|Slew Rate|Termination|{blank}|Voltage|Constraint| +P1|LED<4>|O|I/O/GCK3|OUTPUT||||||||| +P2|LED<5>|O|I/O|OUTPUT||||||||| +P3|LED<6>|O|I/O|OUTPUT||||||||| +P4|GND||GND|||||||||| +P5|LED<7>|O|I/O|OUTPUT||||||||| +P6|TX|O|I/O|OUTPUT||||||||| +P7|TIE||I/O|||||||||| +P8|TIE||I/O|||||||||| +P9|TDI||TDI|||||||||| +P10|TMS||TMS|||||||||| +P11|TCK||TCK|||||||||| +P12|TIE||I/O|||||||||| +P13|TIE||I/O|||||||||| +P14|TIE||I/O|||||||||| +P15|VCC||VCCINT|||||||||| +P16|TIE||I/O|||||||||| +P17|GND||GND|||||||||| +P18|TIE||I/O|||||||||| +P19|TIE||I/O|||||||||| +P20|XSTALIN|I|I/O|INPUT||||||||| +P21|HZIN|I|I/O|INPUT||||||||| +P22|TIE||I/O|||||||||| +P23|TIE||I/O|||||||||| +P24|TDO||TDO|||||||||| +P25|GND||GND|||||||||| +P26|VCC||VCCIO|||||||||| +P27|TIE||I/O|||||||||| +P28|TIE||I/O|||||||||| +P29|TIE||I/O|||||||||| +P30|TIE||I/O|||||||||| +P31|TIE||I/O|||||||||| +P32|TIE||I/O|||||||||| +P33|TIE||I/O/GSR|||||||||| +P34|TIE||I/O/GTS2|||||||||| +P35|VCC||VCCINT|||||||||| +P36|TIE||I/O/GTS1|||||||||| +P37|TIE||I/O|||||||||| +P38|TIE||I/O|||||||||| +P39|TIE||I/O|||||||||| +P40|TIE||I/O|||||||||| +P41|LED<0>|O|I/O|OUTPUT||||||||| +P42|LED<1>|O|I/O|OUTPUT||||||||| +P43|LED<2>|O|I/O/GCK1|OUTPUT||||||||| +P44|LED<3>|O|I/O/GCK2|OUTPUT||||||||| + +To preserve the pinout above for future design iterations in +Project Navigator simply execute the (Lock Pins) process +located under the (Implement Design) process in a toolbox named +(Optional Implementation Tools) or invoke PIN2UCF from the +command line. The location constraints will be written into your +specified UCF file + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.pnx b/60hz_Divider/code/xilinx/cpld_countertest10/counta.pnx new file mode 100644 index 0000000..629bc59 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.pnx @@ -0,0 +1,18 @@ + + + + + + +]> + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.prj b/60hz_Divider/code/xilinx/cpld_countertest10/counta.prj new file mode 100644 index 0000000..eb88f96 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.prj @@ -0,0 +1 @@ +vhdl work "counta.vhd" diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.rpt b/60hz_Divider/code/xilinx/cpld_countertest10/counta.rpt new file mode 100644 index 0000000..2250e5b --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.rpt @@ -0,0 +1,812 @@ + +cpldfit: version P.20131013 Xilinx Inc. + Fitter Report +Design Name: counta Date: 8- 4-2020, 0:40AM +Device Used: XC9572XL-5-VQ44 +Fitting Status: Successful + +************************* Mapped Resource Summary ************************** + +Macrocells Product Terms Function Block Registers Pins +Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot +42 /72 ( 58%) 227 /360 ( 63%) 86 /216 ( 40%) 42 /72 ( 58%) 11 /34 ( 32%) + +** Function Block Resources ** + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 7/18 25/54 47/90 7/ 9 +FB2 11/18 22/54 57/90 0/ 9 +FB3 18/18* 22/54 84/90 2/ 9 +FB4 6/18 17/54 39/90 2/ 7 + ----- ----- ----- ----- + 42/72 86/216 227/360 11/34 + +* - Resource is exhausted + +** Global Control Resources ** + +Global clock net(s) unused. +Global output enable net(s) unused. +Global set/reset net(s) unused. + +** Pin Resources ** + +Signal Type Required Mapped | Pin Type Used Total +------------------------------------|------------------------------------ +Input : 2 2 | I/O : 8 28 +Output : 9 9 | GCK/IO : 3 3 +Bidirectional : 0 0 | GTS/IO : 0 2 +GCK : 0 0 | GSR/IO : 0 1 +GTS : 0 0 | +GSR : 0 0 | + ---- ---- + Total 11 11 + +** Power Data ** + +There are 42 macrocells in high performance mode (MCHP). +There are 0 macrocells in low power mode (MCLP). +End of Mapped Resource Summary + ************************** Errors and Warnings *************************** + +WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will + use the default filename of 'counta.ise'. + ************************* Summary of Mapped Logic ************************ + +** 9 Outputs ** + +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +LED<0> 7 10 FB1_6 41 I/O O STD FAST RESET +LED<1> 7 10 FB1_8 42 I/O O STD FAST RESET +LED<2> 7 10 FB1_9 43 GCK/I/O O STD FAST RESET +LED<3> 7 10 FB1_11 44 GCK/I/O O STD FAST RESET +LED<4> 7 10 FB1_14 1 GCK/I/O O STD FAST RESET +LED<5> 7 10 FB1_15 2 I/O O STD FAST RESET +LED<6> 5 12 FB1_17 3 I/O O STD FAST RESET +LED<7> 4 12 FB3_2 5 I/O O STD FAST RESET +TX 6 9 FB3_5 6 I/O O STD FAST RESET + +** 33 Buried Nodes ** + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +clkcounta<9> 5 14 FB2_8 STD RESET +clkcounta<8> 5 13 FB2_9 STD RESET +clkcounta<7> 5 12 FB2_10 STD RESET +clkcounta<6> 5 11 FB2_11 STD RESET +clkcounta<5> 5 10 FB2_12 STD RESET +clkcounta<4> 5 9 FB2_13 STD RESET +clkcounta<3> 5 8 FB2_14 STD RESET +clkcounta<12> 5 17 FB2_15 STD RESET +clkcounta<11> 5 16 FB2_16 STD RESET +clkcounta<10> 5 15 FB2_17 STD RESET +storecounta<13> 7 10 FB2_18 STD RESET +alreadystoredcnt<0> 3 7 FB3_1 STD RESET +uartskip<0> 3 7 FB3_3 STD RESET +clkcounta<0> 3 5 FB3_4 STD RESET +uartctr<4> 4 12 FB3_6 STD RESET +uartctr<3> 4 12 FB3_7 STD RESET +uartctr<2> 4 12 FB3_8 STD RESET +uartctr<1> 4 12 FB3_9 STD RESET +uartctr<0> 4 12 FB3_10 STD RESET +clkcounta<2> 5 7 FB3_11 STD RESET +clkcounta<1> 5 6 FB3_12 STD RESET +storecounta<2> 6 9 FB3_13 STD RESET +storecounta<1> 6 9 FB3_14 STD RESET +resetclk<0> 2 3 FB3_15 STD RESET +storecounta<5> 7 10 FB3_16 STD RESET +storecounta<4> 7 10 FB3_17 STD RESET +storecounta<3> 7 10 FB3_18 STD RESET +storecounta<14> 7 10 FB4_1 STD RESET +storecounta<18> 6 8 FB4_13 STD RESET +storecounta<17> 6 9 FB4_14 STD RESET +storecounta<16> 6 9 FB4_15 STD RESET +storecounta<6> 7 10 FB4_17 STD RESET +storecounta<15> 7 10 FB4_18 STD RESET + +** 2 Inputs ** + +Signal Loc Pin Pin Pin +Name No. Type Use +XSTALIN FB4_5 20 I/O I +HZIN FB4_8 21 I/O I + +Legend: +Pin No. - ~ - User Assigned + ************************** Function Block Details ************************ +Legend: +Total Pt - Total product terms used by the macrocell signal +Imp Pt - Product terms imported from other macrocells +Exp Pt - Product terms exported to other macrocells + in direction shown +Unused Pt - Unused local product terms remaining in macrocell +Loc - Location where logic was mapped in device +Pin Type/Use - I - Input GCK - Global Clock + O - Output GTS - Global Output Enable + (b) - Buried macrocell GSR - Global Set/Reset +X - Signal used as input to the macrocell logic. +Pin No. - ~ - User Assigned + *********************************** FB1 *********************************** +Number of function block inputs used/remaining: 25/29 +Number of signals used by logic mapping into function block: 25 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 0 5 FB1_1 (b) +(unused) 0 0 0 5 FB1_2 39 I/O +(unused) 0 0 0 5 FB1_3 (b) +(unused) 0 0 0 5 FB1_4 (b) +(unused) 0 0 \/1 4 FB1_5 40 I/O (b) +LED<0> 7 2<- 0 0 FB1_6 41 I/O O +(unused) 0 0 /\1 4 FB1_7 (b) (b) +LED<1> 7 2<- 0 0 FB1_8 42 I/O O +LED<2> 7 4<- /\2 0 FB1_9 43 GCK/I/O O +(unused) 0 0 /\4 1 FB1_10 (b) (b) +LED<3> 7 2<- 0 0 FB1_11 44 GCK/I/O O +(unused) 0 0 /\2 3 FB1_12 (b) (b) +(unused) 0 0 \/2 3 FB1_13 (b) (b) +LED<4> 7 2<- 0 0 FB1_14 1 GCK/I/O O +LED<5> 7 2<- 0 0 FB1_15 2 I/O O +(unused) 0 0 /\2 3 FB1_16 (b) (b) +LED<6> 5 0 0 0 FB1_17 3 I/O O +(unused) 0 0 0 5 FB1_18 (b) + +Signals Used by Logic in Function Block + 1: HZIN 10: XSTALIN 18: resetclk<0> + 2: LED<0> 11: alreadystoredcnt<0> 19: storecounta<13> + 3: LED<1> 12: clkcounta<4> 20: uartctr<0> + 4: LED<2> 13: clkcounta<5> 21: uartctr<1> + 5: LED<3> 14: clkcounta<6> 22: uartctr<2> + 6: LED<4> 15: clkcounta<7> 23: uartctr<3> + 7: LED<5> 16: clkcounta<8> 24: uartctr<4> + 8: LED<6> 17: clkcounta<9> 25: uartskip<0> + 9: LED<7> + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +LED<0> XXX....XXXXX.....X......X............... 10 +LED<1> X.XX...XXXX.X....X......X............... 10 +LED<2> X..XX..XXXX..X...X......X............... 10 +LED<3> X...XX.XXXX...X..X......X............... 10 +LED<4> X....XXXXXX....X.X......X............... 10 +LED<5> X.....XXXXX.....XXX.....X............... 10 +LED<6> X......XXXX......X.XXXXXX............... 12 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB2 *********************************** +Number of function block inputs used/remaining: 22/32 +Number of signals used by logic mapping into function block: 22 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 /\2 3 FB2_1 (b) (b) +(unused) 0 0 0 5 FB2_2 29 I/O +(unused) 0 0 0 5 FB2_3 (b) +(unused) 0 0 0 5 FB2_4 (b) +(unused) 0 0 0 5 FB2_5 30 I/O +(unused) 0 0 0 5 FB2_6 31 I/O +(unused) 0 0 0 5 FB2_7 (b) +clkcounta<9> 5 0 0 0 FB2_8 32 I/O (b) +clkcounta<8> 5 0 0 0 FB2_9 33 GSR/I/O (b) +clkcounta<7> 5 0 0 0 FB2_10 (b) (b) +clkcounta<6> 5 0 0 0 FB2_11 34 GTS/I/O (b) +clkcounta<5> 5 0 0 0 FB2_12 (b) (b) +clkcounta<4> 5 0 0 0 FB2_13 (b) (b) +clkcounta<3> 5 0 0 0 FB2_14 36 GTS/I/O (b) +clkcounta<12> 5 0 0 0 FB2_15 37 I/O (b) +clkcounta<11> 5 0 0 0 FB2_16 (b) (b) +clkcounta<10> 5 0 0 0 FB2_17 38 I/O (b) +storecounta<13> 7 2<- 0 0 FB2_18 (b) (b) + +Signals Used by Logic in Function Block + 1: HZIN 9: clkcounta<12> 16: clkcounta<7> + 2: LED<6> 10: clkcounta<1> 17: clkcounta<8> + 3: LED<7> 11: clkcounta<2> 18: clkcounta<9> + 4: XSTALIN 12: clkcounta<3> 19: resetclk<0> + 5: alreadystoredcnt<0> 13: clkcounta<4> 20: storecounta<13> + 6: clkcounta<0> 14: clkcounta<5> 21: storecounta<14> + 7: clkcounta<10> 15: clkcounta<6> 22: uartskip<0> + 8: clkcounta<11> + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +clkcounta<9> X..XXX...XXXXXXXXXX..................... 14 +clkcounta<8> X..XXX...XXXXXXXX.X..................... 13 +clkcounta<7> X..XXX...XXXXXXX..X..................... 12 +clkcounta<6> X..XXX...XXXXXX...X..................... 11 +clkcounta<5> X..XXX...XXXXX....X..................... 10 +clkcounta<4> X..XXX...XXXX.....X..................... 9 +clkcounta<3> X..XXX...XXX......X..................... 8 +clkcounta<12> X..XXXXXXXXXXXXXXXX..................... 17 +clkcounta<11> X..XXXXX.XXXXXXXXXX..................... 16 +clkcounta<10> X..XXXX..XXXXXXXXXX..................... 15 +storecounta<13> XXXXX.X...........XXXX.................. 10 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB3 *********************************** +Number of function block inputs used/remaining: 22/32 +Number of signals used by logic mapping into function block: 22 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +alreadystoredcnt<0> 3 1<- /\3 0 FB3_1 (b) (b) +LED<7> 4 0 /\1 0 FB3_2 5 I/O O +uartskip<0> 3 0 0 2 FB3_3 (b) (b) +clkcounta<0> 3 0 \/1 1 FB3_4 (b) (b) +TX 6 1<- 0 0 FB3_5 6 I/O O +uartctr<4> 4 0 0 1 FB3_6 (b) (b) +uartctr<3> 4 0 0 1 FB3_7 (b) (b) +uartctr<2> 4 0 0 1 FB3_8 7 I/O (b) +uartctr<1> 4 0 \/1 0 FB3_9 8 I/O (b) +uartctr<0> 4 1<- \/2 0 FB3_10 (b) (b) +clkcounta<2> 5 2<- \/2 0 FB3_11 12 I/O (b) +clkcounta<1> 5 2<- \/2 0 FB3_12 (b) (b) +storecounta<2> 6 2<- \/1 0 FB3_13 (b) (b) +storecounta<1> 6 1<- 0 0 FB3_14 13 I/O (b) +resetclk<0> 2 0 \/3 0 FB3_15 14 I/O (b) +storecounta<5> 7 3<- \/1 0 FB3_16 18 I/O (b) +storecounta<4> 7 2<- 0 0 FB3_17 16 I/O (b) +storecounta<3> 7 3<- /\1 0 FB3_18 (b) (b) + +Signals Used by Logic in Function Block + 1: HZIN 9: clkcounta<2> 16: storecounta<6> + 2: LED<6> 10: resetclk<0> 17: uartctr<0> + 3: LED<7> 11: storecounta<1> 18: uartctr<1> + 4: TX 12: storecounta<2> 19: uartctr<2> + 5: XSTALIN 13: storecounta<3> 20: uartctr<3> + 6: alreadystoredcnt<0> 14: storecounta<4> 21: uartctr<4> + 7: clkcounta<0> 15: storecounta<5> 22: uartskip<0> + 8: clkcounta<1> + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +alreadystoredcnt<0> XXX.XX...X...........X.................. 7 +LED<7> XXX.XX...X......XXXXXX.................. 12 +uartskip<0> XXX.XX...X...........X.................. 7 +clkcounta<0> X...XXX..X.............................. 5 +TX XXXXXX...XX..........X.................. 9 +uartctr<4> XXX.XX...X......XXXXXX.................. 12 +uartctr<3> XXX.XX...X......XXXXXX.................. 12 +uartctr<2> XXX.XX...X......XXXXXX.................. 12 +uartctr<1> XXX.XX...X......XXXXXX.................. 12 +uartctr<0> XXX.XX...X......XXXXXX.................. 12 +clkcounta<2> X...XXXXXX.............................. 7 +clkcounta<1> X...XXXX.X.............................. 6 +storecounta<2> XXX.XX...X.XX........X.................. 9 +storecounta<1> XXX.XX...XXX.........X.................. 9 +resetclk<0> X...XX.................................. 3 +storecounta<5> XXX.XX..XX....XX.....X.................. 10 +storecounta<4> XXX.XX.X.X...XX......X.................. 10 +storecounta<3> XXX.XXX..X..XX.......X.................. 10 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 17/37 +Number of signals used by logic mapping into function block: 17 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +storecounta<14> 7 4<- /\2 0 FB4_1 (b) (b) +(unused) 0 0 /\4 1 FB4_2 19 I/O (b) +(unused) 0 0 0 5 FB4_3 (b) +(unused) 0 0 0 5 FB4_4 (b) +(unused) 0 0 0 5 FB4_5 20 I/O I +(unused) 0 0 0 5 FB4_6 (b) +(unused) 0 0 0 5 FB4_7 (b) +(unused) 0 0 0 5 FB4_8 21 I/O I +(unused) 0 0 0 5 FB4_9 (b) +(unused) 0 0 0 5 FB4_10 (b) +(unused) 0 0 0 5 FB4_11 22 I/O +(unused) 0 0 \/3 2 FB4_12 (b) (b) +storecounta<18> 6 3<- \/2 0 FB4_13 (b) (b) +storecounta<17> 6 2<- \/1 0 FB4_14 23 I/O (b) +storecounta<16> 6 1<- 0 0 FB4_15 27 I/O (b) +(unused) 0 0 \/2 3 FB4_16 (b) (b) +storecounta<6> 7 2<- 0 0 FB4_17 28 I/O (b) +storecounta<15> 7 2<- 0 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: HZIN 7: clkcounta<11> 13: storecounta<16> + 2: LED<0> 8: clkcounta<12> 14: storecounta<17> + 3: LED<6> 9: clkcounta<3> 15: storecounta<18> + 4: LED<7> 10: resetclk<0> 16: storecounta<6> + 5: XSTALIN 11: storecounta<14> 17: uartskip<0> + 6: alreadystoredcnt<0> 12: storecounta<15> + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +storecounta<14> X.XXXXX..XXX....X....................... 10 +storecounta<18> X.XXXX...X....X.X....................... 8 +storecounta<17> X.XXXX...X...XX.X....................... 9 +storecounta<16> X.XXXX...X..XX..X....................... 9 +storecounta<6> XXXXXX..XX.....XX....................... 10 +storecounta<15> X.XXXX.X.X.XX...X....................... 10 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + ******************************* Equations ******************************** + +********** Mapped Logic ********** + + + + + + + + + + + + + + + + + + + + + +FDCPE_LED0: FDCPE port map (LED(0),LED_D(0),XSTALIN,'0','0'); +LED_D(0) <= ((NOT LED(6) AND LED(0) AND NOT HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND LED(1) AND alreadystoredcnt(0)) + OR (LED(6) AND LED(1) AND NOT HZIN) + OR (NOT LED(6) AND LED(0) AND alreadystoredcnt(0)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(4))); + +FDCPE_LED1: FDCPE port map (LED(1),LED_D(1),XSTALIN,'0','0'); +LED_D(1) <= ((NOT LED(6) AND LED(1) AND NOT HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND LED(2) AND alreadystoredcnt(0)) + OR (LED(6) AND LED(2) AND NOT HZIN) + OR (NOT LED(6) AND LED(1) AND alreadystoredcnt(0)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(5))); + +FDCPE_LED2: FDCPE port map (LED(2),LED_D(2),XSTALIN,'0','0'); +LED_D(2) <= ((NOT LED(6) AND LED(2) AND alreadystoredcnt(0)) + OR (NOT LED(6) AND LED(2) AND NOT HZIN) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(6)) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND LED(3) AND alreadystoredcnt(0)) + OR (LED(6) AND LED(3) AND NOT HZIN)); + +FDCPE_LED3: FDCPE port map (LED(3),LED_D(3),XSTALIN,'0','0'); +LED_D(3) <= ((NOT LED(6) AND LED(3) AND NOT HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND LED(4) AND alreadystoredcnt(0)) + OR (LED(6) AND LED(4) AND NOT HZIN) + OR (NOT LED(6) AND LED(3) AND alreadystoredcnt(0)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(7))); + +FDCPE_LED4: FDCPE port map (LED(4),LED_D(4),XSTALIN,'0','0'); +LED_D(4) <= ((NOT LED(6) AND LED(4) AND NOT HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND LED(5) AND alreadystoredcnt(0)) + OR (LED(6) AND LED(5) AND NOT HZIN) + OR (NOT LED(6) AND LED(4) AND alreadystoredcnt(0)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(8))); + +FDCPE_LED5: FDCPE port map (LED(5),LED_D(5),XSTALIN,'0','0'); +LED_D(5) <= ((NOT LED(6) AND LED(5) AND NOT HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND alreadystoredcnt(0) AND storecounta(13)) + OR (LED(6) AND storecounta(13) AND NOT HZIN) + OR (NOT LED(6) AND LED(5) AND alreadystoredcnt(0)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(9))); + +FTCPE_LED6: FTCPE port map (LED(6),LED_T(6),XSTALIN,'0','0'); +LED_T(6) <= ((NOT LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND NOT uartskip(0)) + OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND + uartctr(3) AND uartctr(4)) + OR (LED(6) AND alreadystoredcnt(0) AND NOT resetclk(0) AND + uartskip(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND + uartctr(3) AND uartctr(4)) + OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND + uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND + uartctr(4) AND NOT HZIN)); + +FTCPE_LED7: FTCPE port map (LED(7),LED_T(7),XSTALIN,'0','0'); +LED_T(7) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND + uartctr(2) AND uartctr(3) AND uartctr(4)) + OR (NOT LED(7) AND LED(6) AND NOT alreadystoredcnt(0) AND + NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND + uartctr(3) AND uartctr(4) AND NOT HZIN)); + +FDCPE_TX: FDCPE port map (TX,TX_D,XSTALIN,'0','0'); +TX_D <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND NOT resetclk(0) AND storecounta(1)) + OR (NOT LED(6) AND NOT resetclk(0) AND TX) + OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND + HZIN) + OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND HZIN AND TX)); + +FDCPE_alreadystoredcnt0: FDCPE port map (alreadystoredcnt(0),alreadystoredcnt_D(0),XSTALIN,'0','0'); +alreadystoredcnt_D(0) <= ((LED(7) AND NOT LED(6) AND NOT resetclk(0) AND uartskip(0) AND + NOT HZIN) + OR (NOT alreadystoredcnt(0) AND NOT HZIN)); + +FDCPE_clkcounta0: FDCPE port map (clkcounta(0),clkcounta_D(0),XSTALIN,'0','0'); +clkcounta_D(0) <= ((NOT resetclk(0) AND NOT clkcounta(0)) + OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0))); + +FDCPE_clkcounta1: FDCPE port map (clkcounta(1),clkcounta_D(1),XSTALIN,'0','0'); +clkcounta_D(1) <= ((NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + NOT clkcounta(1)) + OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0) AND + clkcounta(1)) + OR (NOT resetclk(0) AND clkcounta(0) AND NOT clkcounta(1)) + OR (NOT resetclk(0) AND NOT clkcounta(0) AND clkcounta(1))); + +FTCPE_clkcounta2: FTCPE port map (clkcounta(2),clkcounta_T(2),XSTALIN,'0','0'); +clkcounta_T(2) <= ((NOT resetclk(0) AND clkcounta(0) AND clkcounta(1)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + clkcounta(1)) + OR (alreadystoredcnt(0) AND resetclk(0) AND clkcounta(2)) + OR (resetclk(0) AND NOT HZIN AND clkcounta(2))); + +FTCPE_clkcounta3: FTCPE port map (clkcounta(3),clkcounta_T(3),XSTALIN,'0','0'); +clkcounta_T(3) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(3)) + OR (resetclk(0) AND NOT HZIN AND clkcounta(3)) + OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND + clkcounta(2)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + clkcounta(1) AND clkcounta(2))); + +FTCPE_clkcounta4: FTCPE port map (clkcounta(4),clkcounta_T(4),XSTALIN,'0','0'); +clkcounta_T(4) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(4)) + OR (resetclk(0) AND NOT HZIN AND clkcounta(4)) + OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND + clkcounta(2) AND clkcounta(3)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + clkcounta(1) AND clkcounta(2) AND clkcounta(3))); + +FTCPE_clkcounta5: FTCPE port map (clkcounta(5),clkcounta_T(5),XSTALIN,'0','0'); +clkcounta_T(5) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(5)) + OR (resetclk(0) AND NOT HZIN AND clkcounta(5)) + OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND + clkcounta(2) AND clkcounta(3) AND clkcounta(4)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4))); + +FTCPE_clkcounta6: FTCPE port map (clkcounta(6),clkcounta_T(6),XSTALIN,'0','0'); +clkcounta_T(6) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(6)) + OR (resetclk(0) AND NOT HZIN AND clkcounta(6)) + OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND + clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND + clkcounta(5))); + +FTCPE_clkcounta7: FTCPE port map (clkcounta(7),clkcounta_T(7),XSTALIN,'0','0'); +clkcounta_T(7) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(7)) + OR (resetclk(0) AND NOT HZIN AND clkcounta(7)) + OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND + clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND + clkcounta(6)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND + clkcounta(5) AND clkcounta(6))); + +FTCPE_clkcounta8: FTCPE port map (clkcounta(8),clkcounta_T(8),XSTALIN,'0','0'); +clkcounta_T(8) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(8)) + OR (resetclk(0) AND NOT HZIN AND clkcounta(8)) + OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND + clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND + clkcounta(6) AND clkcounta(7)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND + clkcounta(5) AND clkcounta(6) AND clkcounta(7))); + +FTCPE_clkcounta9: FTCPE port map (clkcounta(9),clkcounta_T(9),XSTALIN,'0','0'); +clkcounta_T(9) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(9)) + OR (resetclk(0) AND NOT HZIN AND clkcounta(9)) + OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND + clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND + clkcounta(6) AND clkcounta(7) AND clkcounta(8)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND + clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8))); + +FTCPE_clkcounta10: FTCPE port map (clkcounta(10),clkcounta_T(10),XSTALIN,'0','0'); +clkcounta_T(10) <= ((alreadystoredcnt(0) AND resetclk(0) AND + clkcounta(10)) + OR (resetclk(0) AND NOT HZIN AND clkcounta(10)) + OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND + clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND + clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND clkcounta(9)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND + clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND + clkcounta(9))); + +FTCPE_clkcounta11: FTCPE port map (clkcounta(11),clkcounta_T(11),XSTALIN,'0','0'); +clkcounta_T(11) <= ((alreadystoredcnt(0) AND resetclk(0) AND + clkcounta(11)) + OR (resetclk(0) AND NOT HZIN AND clkcounta(11)) + OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND + clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND + clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND + clkcounta(9)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + clkcounta(10) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND + clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND + clkcounta(8) AND clkcounta(9))); + +FTCPE_clkcounta12: FTCPE port map (clkcounta(12),clkcounta_T(12),XSTALIN,'0','0'); +clkcounta_T(12) <= ((alreadystoredcnt(0) AND resetclk(0) AND + clkcounta(12)) + OR (resetclk(0) AND NOT HZIN AND clkcounta(12)) + OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND + clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND + clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND + clkcounta(8) AND clkcounta(9)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND + clkcounta(10) AND clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND + clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND + clkcounta(7) AND clkcounta(8) AND clkcounta(9))); + +FDCPE_resetclk0: FDCPE port map (resetclk(0),resetclk_D(0),XSTALIN,'0','0'); +resetclk_D(0) <= (NOT alreadystoredcnt(0) AND HZIN); + +FDCPE_storecounta1: FDCPE port map (storecounta(1),storecounta_D(1),XSTALIN,'0','0'); +storecounta_D(1) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND NOT resetclk(0) AND storecounta(2)) + OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(1)) + OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(2) AND + HZIN) + OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND + HZIN)); + +FDCPE_storecounta2: FDCPE port map (storecounta(2),storecounta_D(2),XSTALIN,'0','0'); +storecounta_D(2) <= ((NOT LED(6) AND storecounta(2)) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + uartskip(0) AND NOT HZIN) + OR (LED(6) AND storecounta(3)) + OR (alreadystoredcnt(0) AND resetclk(0)) + OR (resetclk(0) AND NOT HZIN)); + +FDCPE_storecounta3: FDCPE port map (storecounta(3),storecounta_D(3),XSTALIN,'0','0'); +storecounta_D(3) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(3)) + OR (NOT LED(6) AND storecounta(3) AND NOT HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND alreadystoredcnt(0) AND storecounta(4)) + OR (LED(6) AND storecounta(4) AND NOT HZIN) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0))); + +FDCPE_storecounta4: FDCPE port map (storecounta(4),storecounta_D(4),XSTALIN,'0','0'); +storecounta_D(4) <= ((NOT LED(6) AND storecounta(4) AND NOT HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND alreadystoredcnt(0) AND storecounta(5)) + OR (LED(6) AND storecounta(5) AND NOT HZIN) + OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(4)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(1))); + +FDCPE_storecounta5: FDCPE port map (storecounta(5),storecounta_D(5),XSTALIN,'0','0'); +storecounta_D(5) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(5)) + OR (NOT LED(6) AND storecounta(5) AND NOT HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND alreadystoredcnt(0) AND storecounta(6)) + OR (LED(6) AND storecounta(6) AND NOT HZIN) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(2))); + +FDCPE_storecounta6: FDCPE port map (storecounta(6),storecounta_D(6),XSTALIN,'0','0'); +storecounta_D(6) <= ((NOT LED(6) AND storecounta(6) AND NOT HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND LED(0) AND alreadystoredcnt(0)) + OR (LED(6) AND LED(0) AND NOT HZIN) + OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(6)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(3))); + +FDCPE_storecounta13: FDCPE port map (storecounta(13),storecounta_D(13),XSTALIN,'0','0'); +storecounta_D(13) <= ((NOT LED(6) AND storecounta(13) AND NOT HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND alreadystoredcnt(0) AND storecounta(14)) + OR (LED(6) AND storecounta(14) AND NOT HZIN) + OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(13)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(10))); + +FDCPE_storecounta14: FDCPE port map (storecounta(14),storecounta_D(14),XSTALIN,'0','0'); +storecounta_D(14) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(14)) + OR (NOT LED(6) AND storecounta(14) AND NOT HZIN) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(11)) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND alreadystoredcnt(0) AND storecounta(15)) + OR (LED(6) AND storecounta(15) AND NOT HZIN)); + +FDCPE_storecounta15: FDCPE port map (storecounta(15),storecounta_D(15),XSTALIN,'0','0'); +storecounta_D(15) <= ((NOT LED(6) AND storecounta(15) AND NOT HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND alreadystoredcnt(0) AND storecounta(16)) + OR (LED(6) AND storecounta(16) AND NOT HZIN) + OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(15)) + OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(12))); + +FDCPE_storecounta16: FDCPE port map (storecounta(16),storecounta_D(16),XSTALIN,'0','0'); +storecounta_D(16) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + uartskip(0) AND NOT HZIN) + OR (LED(6) AND storecounta(17)) + OR (NOT LED(6) AND storecounta(16)) + OR (alreadystoredcnt(0) AND resetclk(0)) + OR (resetclk(0) AND NOT HZIN)); + +FDCPE_storecounta17: FDCPE port map (storecounta(17),storecounta_D(17),XSTALIN,'0','0'); +storecounta_D(17) <= ((NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(17) AND + HZIN) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN) + OR (LED(6) AND NOT resetclk(0) AND storecounta(18)) + OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(17)) + OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(18) AND + HZIN)); + +FDCPE_storecounta18: FDCPE port map (storecounta(18),storecounta_D(18),XSTALIN,'0','0'); +storecounta_D(18) <= ((LED(6) AND NOT alreadystoredcnt(0) AND HZIN) + OR (NOT alreadystoredcnt(0) AND storecounta(18) AND HZIN) + OR (LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND + uartskip(0) AND NOT HZIN) + OR (LED(6) AND NOT resetclk(0)) + OR (NOT resetclk(0) AND storecounta(18))); + +FTCPE_uartctr0: FTCPE port map (uartctr(0),uartctr_T(0),XSTALIN,'0','0'); +uartctr_T(0) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND + uartctr(3) AND uartctr(4)) + OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0)) + OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND + uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND + uartctr(4) AND NOT HZIN)); + +FTCPE_uartctr1: FTCPE port map (uartctr(1),uartctr_T(1),XSTALIN,'0','0'); +uartctr_T(1) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND uartctr(0)) + OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND + uartctr(3) AND uartctr(4)) + OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND + uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND + uartctr(4) AND NOT HZIN)); + +FTCPE_uartctr2: FTCPE port map (uartctr(2),uartctr_T(2),XSTALIN,'0','0'); +uartctr_T(2) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1)) + OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND + uartctr(3) AND uartctr(4)) + OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND + uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND + uartctr(4) AND NOT HZIN)); + +FTCPE_uartctr3: FTCPE port map (uartctr(3),uartctr_T(3),XSTALIN,'0','0'); +uartctr_T(3) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND + uartctr(2)) + OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND + uartctr(3) AND uartctr(4)) + OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND + uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND + uartctr(4) AND NOT HZIN)); + +FTCPE_uartctr4: FTCPE port map (uartctr(4),uartctr_T(4),XSTALIN,'0','0'); +uartctr_T(4) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND + uartctr(3) AND uartctr(4)) + OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND + uartctr(2) AND uartctr(3)) + OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND + uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND + uartctr(4) AND NOT HZIN)); + +FTCPE_uartskip0: FTCPE port map (uartskip(0),uartskip_T(0),XSTALIN,'0','0'); +uartskip_T(0) <= ((NOT LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND + NOT uartskip(0)) + OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND + NOT resetclk(0) AND uartskip(0) AND NOT HZIN)); + +Register Legend: + FDCPE (Q,D,C,CLR,PRE,CE); + FTCPE (Q,D,C,CLR,PRE,CE); + LDCP (Q,D,G,CLR,PRE); + + ****************************** Device Pin Out ***************************** + +Device : XC9572XL-5-VQ44 + + + -------------------------------- + /44 43 42 41 40 39 38 37 36 35 34 \ + | 1 33 | + | 2 32 | + | 3 31 | + | 4 30 | + | 5 XC9572XL-5-VQ44 29 | + | 6 28 | + | 7 27 | + | 8 26 | + | 9 25 | + | 10 24 | + | 11 23 | + \ 12 13 14 15 16 17 18 19 20 21 22 / + -------------------------------- + + +Pin Signal Pin Signal +No. Name No. Name + 1 LED<4> 23 KPR + 2 LED<5> 24 TDO + 3 LED<6> 25 GND + 4 GND 26 VCC + 5 LED<7> 27 KPR + 6 TX 28 KPR + 7 KPR 29 KPR + 8 KPR 30 KPR + 9 TDI 31 KPR + 10 TMS 32 KPR + 11 TCK 33 KPR + 12 KPR 34 KPR + 13 KPR 35 VCC + 14 KPR 36 KPR + 15 VCC 37 KPR + 16 KPR 38 KPR + 17 GND 39 KPR + 18 KPR 40 KPR + 19 KPR 41 LED<0> + 20 XSTALIN 42 LED<1> + 21 HZIN 43 LED<2> + 22 KPR 44 LED<3> + + +Legend : NC = Not Connected, unbonded pin + PGND = Unused I/O configured as additional Ground pin + TIE = Unused I/O floating -- must tie to VCC, GND or other signal + KPR = Unused I/O with weak keeper (leave unconnected) + VCC = Dedicated Power Pin + GND = Dedicated Ground Pin + TDI = Test Data In, JTAG pin + TDO = Test Data Out, JTAG pin + TCK = Test Clock, JTAG pin + TMS = Test Mode Select, JTAG pin + PROHIBITED = User reserved pin + **************************** Compiler Options **************************** + +Following is a list of all global compiler options used by the fitter run. + +Device(s) Specified : xc9572xl-5-VQ44 +Optimization Method : SPEED +Multi-Level Logic Optimization : ON +Ignore Timing Specifications : OFF +Default Register Power Up Value : LOW +Keep User Location Constraints : ON +What-You-See-Is-What-You-Get : OFF +Exhaustive Fitting : OFF +Keep Unused Inputs : OFF +Slew Rate : FAST +Power Mode : STD +Ground on Unused IOs : OFF +Set I/O Pin Termination : KEEPER +Global Clock Optimization : ON +Global Set/Reset Optimization : ON +Global Ouput Enable Optimization : ON +Input Limit : 54 +Pterm Limit : 25 diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.stx b/60hz_Divider/code/xilinx/cpld_countertest10/counta.stx new file mode 100644 index 0000000..e69de29 diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.syr b/60hz_Divider/code/xilinx/cpld_countertest10/counta.syr new file mode 100644 index 0000000..40b0288 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.syr @@ -0,0 +1,259 @@ +Release 14.7 - xst P.20131013 (lin) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +--> +Parameter TMPDIR set to xst/projnav.tmp + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.13 secs + +--> +Parameter xsthdpdir set to xst + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.13 secs + +--> +Reading design: counta.prj + +TABLE OF CONTENTS + 1) Synthesis Options Summary + 2) HDL Compilation + 3) Design Hierarchy Analysis + 4) HDL Analysis + 5) HDL Synthesis + 5.1) HDL Synthesis Report + 6) Advanced HDL Synthesis + 6.1) Advanced HDL Synthesis Report + 7) Low Level Synthesis + 8) Partition Report + 9) Final Report + +========================================================================= +* Synthesis Options Summary * +========================================================================= +---- Source Parameters +Input File Name : "counta.prj" +Input Format : mixed +Ignore Synthesis Constraint File : NO + +---- Target Parameters +Output File Name : "counta" +Output Format : NGC +Target Device : XC9500XL CPLDs + +---- Source Options +Top Module Name : counta +Automatic FSM Extraction : YES +FSM Encoding Algorithm : Auto +Safe Implementation : No +Mux Extraction : Yes +Resource Sharing : YES + +---- Target Options +Add IO Buffers : YES +MACRO Preserve : YES +XOR Preserve : YES +Equivalent register Removal : YES + +---- General Options +Optimization Goal : Speed +Optimization Effort : 1 +Keep Hierarchy : Yes +Netlist Hierarchy : As_Optimized +RTL Output : Yes +Hierarchy Separator : / +Bus Delimiter : <> +Case Specifier : Maintain +Verilog 2001 : YES + +---- Other Options +Clock Enable : YES +wysiwyg : NO + +========================================================================= + + +========================================================================= +* HDL Compilation * +========================================================================= +Compiling vhdl file "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.vhd" in Library work. +Entity compiled. +Entity (Architecture ) compiled. + +========================================================================= +* Design Hierarchy Analysis * +========================================================================= +Analyzing hierarchy for entity in library (architecture ). + + +========================================================================= +* HDL Analysis * +========================================================================= +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + + +========================================================================= +* HDL Synthesis * +========================================================================= + +Performing bidirectional port resolution... + +Synthesizing Unit . + Related source file is "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.vhd". +WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. + Found 1-bit register for signal >. + Found 13-bit up counter for signal . + Found 1-bit register for signal >. + Found 19-bit register for signal . + Found 5-bit up counter for signal . + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Summary: + inferred 2 Counter(s). + inferred 22 D-type flip-flop(s). +Unit synthesized. + + +========================================================================= +HDL Synthesis Report + +Macro Statistics +# Counters : 2 + 13-bit up counter : 1 + 5-bit up counter : 1 +# Registers : 24 + 1-bit register : 24 + +========================================================================= + +========================================================================= +* Advanced HDL Synthesis * +========================================================================= + + +========================================================================= +Advanced HDL Synthesis Report + +Macro Statistics +# Counters : 2 + 13-bit up counter : 1 + 5-bit up counter : 1 +# Registers : 22 + Flip-Flops : 22 + +========================================================================= + +========================================================================= +* Low Level Synthesis * +========================================================================= + +Optimizing unit ... + implementation constraint: INIT=r : storecounta_10 + implementation constraint: INIT=r : storecounta_0 + implementation constraint: INIT=r : waitnow_0 + implementation constraint: INIT=r : storecounta_11 + implementation constraint: INIT=r : storecounta_1 + implementation constraint: INIT=r : storecounta_12 + implementation constraint: INIT=r : storecounta_2 + implementation constraint: INIT=r : storecounta_13 + implementation constraint: INIT=r : storecounta_3 + implementation constraint: INIT=r : storecounta_14 + implementation constraint: INIT=r : storecounta_4 + implementation constraint: INIT=r : storecounta_15 + implementation constraint: INIT=r : storecounta_5 + implementation constraint: INIT=r : storecounta_16 + implementation constraint: INIT=r : storecounta_6 + implementation constraint: INIT=r : storecounta_17 + implementation constraint: INIT=r : storecounta_7 + implementation constraint: INIT=r : storecounta_18 + implementation constraint: INIT=r : storecounta_8 + implementation constraint: INIT=r : storecounta_9 + implementation constraint: INIT=r : uartnow_0 + implementation constraint: INIT=r : uartskip_0 + implementation constraint: INIT=r : alreadystoredcnt_0 + implementation constraint: INIT=r : uartctr_2 + implementation constraint: INIT=r : resetclk_0 + implementation constraint: INIT=r : clkcounta_12 + implementation constraint: INIT=r : uartctr_3 + implementation constraint: INIT=r : clkcounta_0 + implementation constraint: INIT=r : clkcounta_1 + implementation constraint: INIT=r : clkcounta_2 + implementation constraint: INIT=r : clkcounta_3 + implementation constraint: INIT=r : clkcounta_4 + implementation constraint: INIT=r : clkcounta_5 + implementation constraint: INIT=r : clkcounta_6 + implementation constraint: INIT=r : clkcounta_7 + implementation constraint: INIT=r : clkcounta_8 + implementation constraint: INIT=r : clkcounta_9 + implementation constraint: INIT=r : clkcounta_10 + implementation constraint: INIT=r : clkcounta_11 + implementation constraint: INIT=r : uartctr_4 + implementation constraint: INIT=r : uartctr_0 + implementation constraint: INIT=r : uartctr_1 + +========================================================================= +* Partition Report * +========================================================================= + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +========================================================================= +* Final Report * +========================================================================= +Final Results +RTL Top Level Output File Name : counta.ngr +Top Level Output File Name : counta +Output Format : NGC +Optimization Goal : Speed +Keep Hierarchy : Yes +Target Technology : XC9500XL CPLDs +Macro Preserve : YES +XOR Preserve : YES +Clock Enable : YES +wysiwyg : NO + +Design Statistics +# IOs : 11 + +Cell Usage : +# BELS : 395 +# AND2 : 131 +# AND3 : 30 +# AND4 : 13 +# AND5 : 1 +# GND : 1 +# INV : 156 +# OR2 : 45 +# OR3 : 1 +# OR4 : 1 +# XOR2 : 16 +# FlipFlops/Latches : 42 +# FD : 13 +# FDCE : 29 +# IO Buffers : 11 +# IBUF : 2 +# OBUF : 9 +========================================================================= + + +Total REAL time to Xst completion: 10.00 secs +Total CPU time to Xst completion: 10.28 secs + +--> + + +Total memory usage is 165256 kilobytes + +Number of errors : 0 ( 0 filtered) +Number of warnings : 1 ( 0 filtered) +Number of infos : 0 ( 0 filtered) + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.vhd b/60hz_Divider/code/xilinx/cpld_countertest10/counta.vhd new file mode 100644 index 0000000..dd26f55 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.vhd @@ -0,0 +1,151 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +use IEEE.NUMERIC_STD.ALL; + + +--xc9500xl has 5 volt tolerant inputs + +entity counta is + +--TODO: figure out how to get XSTALIN as GCK (see also fitter report (text)) + PORT( XSTALIN : in STD_LOGIC; -- semi colons + HZIN : in STD_LOGIC; -- here + LED : out STD_LOGIC_VECTOR(7 downto 0); -- commas in instance + TX : out STD_LOGIC --last one, no semi colon + + ); +end counta; + +architecture Behavioral of counta is + +-- 2 to the power of 20 is about 1million +-- gives me 600KHz resolution. Good enough. +-- any more would run into limitations of cpld. +-- EDIT: ran into limits +-- now trying 12 + 1 bits, or about upper limit of 6,000 + --signal + signal clkcounta : STD_LOGIC_VECTOR(12 DOWNTO 0) := (others => '0'); + --signal testhzctr : STD_LOGIC_VECTOR(9 downto 0) := (others => '0'); + signal storecounta : STD_LOGIC_VECTOR(18 DOWNTO 0) := (others => '0'); + signal alreadystoredcnt : STD_LOGIC_VECTOR(0 downto 0) := "0"; + signal uartnow : STD_LOGIC_VECTOR(0 downto 0) := "0"; + signal uartctr : STD_LOGIC_VECTOR(4 downto 0) := "00000"; + signal waitnow : STD_LOGIC_VECTOR(0 downto 0) := "0"; + signal resetclk : STD_LOGIC_VECTOR(0 downto 0) := "0"; + signal uartskip : STD_LOGIC_VECTOR(0 downto 0) := "0"; + signal ORvalforstore : STD_LOGIC_VECTOR(2 downto 0) := "111"; +begin + + +--outside of process + + + +TX <= storecounta(0); + +LED(5 downto 0) <= storecounta(12 downto 7); +LED(6) <= uartnow(0); +LED(7) <= waitnow(0); +--sanity check that leds / switch is working +--LED(7) <= switch; + +mycounta: process (XSTALIN) + begin + if rising_edge(XSTALIN) then + + clkcounta <= clkcounta + 1; + + --testing clock + --EDIT: below not necessary, as register is already + -- wrapping around after getting to 255/256 +-- if clkcounta > 250 then +-- clkcounta <= (others => '0'); +-- +-- end if; + +--MAIN + + --shift value out via uart + --(because this is a 6KHz clock, won't be too fast) + if uartnow(0) = '1' then + storecounta <= '1' & storecounta(18 downto 1); --should be down to 1, not 0 + --NOTE: because this goes down to 1, 0 is always low + --which in uart would be start bit, I THINK........ + end if; + + --upon 1hz trigger, and not stored val yet + -- (1hz trigger, is 1hz square wave) + + if HZIN = '1' and alreadystoredcnt(0) = '0' then + --store clk val in register + storecounta(15 downto 3) <= clkcounta; + --don't store it again + alreadystoredcnt(0) <= '1'; + --reset counter + resetclk(0) <= '1'; + --remember, everything in if statement happens all at once + elsif resetclk(0) = '1' then + clkcounta <= (others => '0'); + resetclk(0) <= '0'; + --frame bits to identify where i am + storecounta(18) <= '0'; + storecounta(17) <= '0'; + storecounta(16) <= '1'; + --data goes from 3 - 15, 3,4,5,6,7, 8,9,10,11,12, 13,14,15 + --12+1 bits + storecounta(0) <= '0'; + storecounta(1) <= '0'; + storecounta(2) <= '1'; + elsif alreadystoredcnt(0) = '1' and waitnow(0) = '0' and uartskip(0) = '0' then + --enable uart + uartnow(0) <= '1'; + --without this skip, otherwise we get stuck here + uartskip(0) <= '1'; + + elsif uartnow(0) = '1' and uartctr(4 downto 0) = "11111" then + --disable uart + uartnow(0) <= '0'; + uartctr <= (others => '0'); + --don't do this and don't enable uart above, and don't count + waitnow(0) <= '1'; + + elsif alreadystoredcnt(0) = '1' and waitnow(0) = '0' and uartskip(0) = '1' and uartnow(0) = '1'then + uartctr <= uartctr + 1; + --this must be after the above, otherwise we get stuck in it + + elsif HZIN = '0' and alreadystoredcnt(0) = '1' and waitnow(0) = '1' and uartskip(0) = '1' and uartnow(0) = '0' then + --reset storedcounter + alreadystoredcnt(0) <= '0'; + --only do this once + storecounta <= (others => '1'); --idle high in uart + waitnow(0) <= '0'; + uartskip(0) <= '0'; + + + end if; + + +--using 6MHz clk +--count as far as possible, every 1 second pulse +--from 60hz divider +--at pulse, display count, then start over + -- if HZIN = '1' and hzinhighflag(0) = '0' then + --display value on leds + --todo + + --start counter over + -- clkcounta <= (others => '0'); + --don't do anything until hz is low + -- hzinhighflag(0) <= '1'; + --end if; + --end if; +--EDIT: cpld has limitations, therefore lowering register sizes + + + +end if; -- main rising clk process end + end process; + +end Behavioral; + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.vhi b/60hz_Divider/code/xilinx/cpld_countertest10/counta.vhi new file mode 100644 index 0000000..ea5bc04 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.vhi @@ -0,0 +1,27 @@ + +-- VHDL Instantiation Created from source file counta.vhd -- 01:07:15 07/14/2020 +-- +-- Notes: +-- 1) This instantiation template has been automatically generated using types +-- std_logic and std_logic_vector for the ports of the instantiated module +-- 2) To use this template to instantiate this entity, cut-and-paste and then edit + + COMPONENT counta + PORT( + XSTALIN : IN std_logic; + HZIN : IN std_logic; + SWITCH : IN std_logic; + LED : OUT std_logic_vector(7 downto 0); + TX : OUT std_logic + ); + END COMPONENT; + + Inst_counta: counta PORT MAP( + XSTALIN => , + HZIN => , + SWITCH => , + LED => , + TX => + ); + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.vm6 b/60hz_Divider/code/xilinx/cpld_countertest10/counta.vm6 new file mode 100644 index 0000000..092a4d1 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.vm6 @@ -0,0 +1,3484 @@ +NDS Database: version P.20131013 + +NDS_INFO | xc9500xl | 9572XL44VQ | XC9572XL-5-VQ44 + +DEVICE | 9572XL | 9572XL44VQ | + +NETWORK | counta | 0 | 0 | 16391 + +INPUT_INSTANCE | 0 | 0 | NULL | XSTALIN_IBUF | counta_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | XSTALIN | 5869 | PI | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | HZIN_IBUF | counta_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | HZIN | 5870 | PI | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | waitnow<0> | counta_COPY_0_COPY_0 | 2155877376 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | waitnow<0>$Q | 5808 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | waitnow<0>.EXP | 6109 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.EXP | waitnow<0> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | waitnow<0>.SI | waitnow<0> | 0 | 12 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | waitnow<0>.D1 | 5872 | ? | 0 | 4096 | waitnow<0> | NULL | NULL | waitnow<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | waitnow<0>.D2 | 5873 | ? | 0 | 4096 | waitnow<0> | NULL | NULL | waitnow<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF +SPPTERM | 10 | IV_FALSE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> +SPPTERM | 10 | IV_FALSE | waitnow<0> | IV_TRUE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | waitnow<0>.CLKF | 5874 | ? | 0 | 4096 | waitnow<0> | NULL | NULL | waitnow<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | waitnow<0>.EXP | 6098 | ? | 0 | 0 | waitnow<0> | NULL | NULL | waitnow<0>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | waitnow<0>.REG | waitnow<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | waitnow<0>.D | 5871 | ? | 0 | 0 | waitnow<0> | NULL | NULL | waitnow<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | waitnow<0>.CLKF | 5874 | ? | 0 | 4096 | waitnow<0> | NULL | NULL | waitnow<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | waitnow<0>.Q | 5875 | ? | 0 | 0 | waitnow<0> | NULL | NULL | waitnow<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | uartnow<0> | counta_COPY_0_COPY_0 | 2155877376 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | uartnow<0>$Q | 5810 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | uartnow<0>.SI | uartnow<0> | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | uartnow<0>.D1 | 5877 | ? | 0 | 4096 | uartnow<0> | NULL | NULL | uartnow<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | uartnow<0>.D2 | 5878 | ? | 0 | 4096 | uartnow<0> | NULL | NULL | uartnow<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_FALSE | uartskip<0> +SPPTERM | 9 | IV_TRUE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> +SPPTERM | 9 | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> +SPPTERM | 9 | IV_TRUE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | uartnow<0>.CLKF | 5879 | ? | 0 | 4096 | uartnow<0> | NULL | NULL | uartnow<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | uartnow<0>.REG | uartnow<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | uartnow<0>.D | 5876 | ? | 0 | 0 | uartnow<0> | NULL | NULL | uartnow<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | uartnow<0>.CLKF | 5879 | ? | 0 | 4096 | uartnow<0> | NULL | NULL | uartnow<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | uartnow<0>.Q | 5880 | ? | 0 | 0 | uartnow<0> | NULL | NULL | uartnow<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<10> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<11> | 5815 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<11>.Q | storecounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<10> | 5813 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<10>.Q | storecounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP9_.EXP | 6092 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP9_.EXP | EXP9_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | storecounta<10>$Q | 5812 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<10>.Q | storecounta<10> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<10> | 5813 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<10>.Q | storecounta<10> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<10>.SI | storecounta<10> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<11> | 5815 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<11>.Q | storecounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<10> | 5813 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<10>.Q | storecounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP9_.EXP | 6092 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP9_.EXP | EXP9_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<10>.D1 | 5882 | ? | 0 | 4096 | storecounta<10> | NULL | NULL | storecounta<10>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<10>.D2 | 5883 | ? | 0 | 4096 | storecounta<10> | NULL | NULL | storecounta<10>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP9_.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<11> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<11> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<10> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<7> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<10>.CLKF | 5884 | ? | 0 | 4096 | storecounta<10> | NULL | NULL | storecounta<10>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<10>.REG | storecounta<10> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<10>.D | 5881 | ? | 0 | 0 | storecounta<10> | NULL | NULL | storecounta<10>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<10>.CLKF | 5884 | ? | 0 | 4096 | storecounta<10> | NULL | NULL | storecounta<10>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<10>.Q | 5885 | ? | 0 | 0 | storecounta<10> | NULL | NULL | storecounta<10>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<11> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<12> | 5817 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<12>.Q | storecounta<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<11> | 5815 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<11>.Q | storecounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 6093 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | storecounta<11>$Q | 5814 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<11>.Q | storecounta<11> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<11> | 5815 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<11>.Q | storecounta<11> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<11>.SI | storecounta<11> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<12> | 5817 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<12>.Q | storecounta<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<11> | 5815 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<11>.Q | storecounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 6093 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<11>.D1 | 5887 | ? | 0 | 4096 | storecounta<11> | NULL | NULL | storecounta<11>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<11>.D2 | 5888 | ? | 0 | 4096 | storecounta<11> | NULL | NULL | storecounta<11>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP10_.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<12> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<12> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<11> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<8> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<11>.CLKF | 5889 | ? | 0 | 4096 | storecounta<11> | NULL | NULL | storecounta<11>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<11>.REG | storecounta<11> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<11>.D | 5886 | ? | 0 | 0 | storecounta<11> | NULL | NULL | storecounta<11>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<11>.CLKF | 5889 | ? | 0 | 4096 | storecounta<11> | NULL | NULL | storecounta<11>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<11>.Q | 5890 | ? | 0 | 0 | storecounta<11> | NULL | NULL | storecounta<11>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<12> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<13> | 5835 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<13>.Q | storecounta<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<9> | 5858 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<9>.Q | clkcounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<12> | 5817 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<12>.Q | storecounta<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 6094 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | storecounta<12>$Q | 5816 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<12>.Q | storecounta<12> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<12> | 5817 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<12>.Q | storecounta<12> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<12>.SI | storecounta<12> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<13> | 5835 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<13>.Q | storecounta<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<9> | 5858 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<9>.Q | clkcounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<12> | 5817 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<12>.Q | storecounta<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 6094 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<12>.D1 | 5892 | ? | 0 | 4096 | storecounta<12> | NULL | NULL | storecounta<12>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<12>.D2 | 5893 | ? | 0 | 4096 | storecounta<12> | NULL | NULL | storecounta<12>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP11_.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<13> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<13> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<12> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<9> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<12>.CLKF | 5894 | ? | 0 | 4096 | storecounta<12> | NULL | NULL | storecounta<12>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<12>.REG | storecounta<12> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<12>.D | 5891 | ? | 0 | 0 | storecounta<12> | NULL | NULL | storecounta<12>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<12>.CLKF | 5894 | ? | 0 | 4096 | storecounta<12> | NULL | NULL | storecounta<12>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<12>.Q | 5895 | ? | 0 | 0 | storecounta<12> | NULL | NULL | storecounta<12>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<7> | counta_COPY_0_COPY_0 | 2155873280 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<8> | 5821 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<8>.Q | storecounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<7> | 5819 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<7>.Q | storecounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP6_.EXP | 6088 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP7_.EXP | 6089 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP7_.EXP | EXP7_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | storecounta<7>$Q | 5818 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<7>.Q | storecounta<7> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<7> | 5819 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<7>.Q | storecounta<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<7>.SI | storecounta<7> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<8> | 5821 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<8>.Q | storecounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<7> | 5819 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<7>.Q | storecounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP6_.EXP | 6088 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP7_.EXP | 6089 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP7_.EXP | EXP7_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<7>.D1 | 5897 | ? | 0 | 4096 | storecounta<7> | NULL | NULL | storecounta<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<7>.D2 | 5898 | ? | 0 | 4096 | storecounta<7> | NULL | NULL | storecounta<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP6_.EXP +SPPTERM | 1 | IV_TRUE | EXP7_.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<8> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<8> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<7> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<4> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<7>.CLKF | 5899 | ? | 0 | 4096 | storecounta<7> | NULL | NULL | storecounta<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<7>.REG | storecounta<7> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<7>.D | 5896 | ? | 0 | 0 | storecounta<7> | NULL | NULL | storecounta<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<7>.CLKF | 5899 | ? | 0 | 4096 | storecounta<7> | NULL | NULL | storecounta<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<7>.Q | 5900 | ? | 0 | 0 | storecounta<7> | NULL | NULL | storecounta<7>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<8> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<9> | 5823 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<9>.Q | storecounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<8> | 5821 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<8>.Q | storecounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<9>.EXP | 6090 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<9>.EXP | storecounta<9> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | storecounta<8>$Q | 5820 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<8>.Q | storecounta<8> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<8> | 5821 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<8>.Q | storecounta<8> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<8>.SI | storecounta<8> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<9> | 5823 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<9>.Q | storecounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<8> | 5821 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<8>.Q | storecounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<9>.EXP | 6090 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<9>.EXP | storecounta<9> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<8>.D1 | 5902 | ? | 0 | 4096 | storecounta<8> | NULL | NULL | storecounta<8>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<8>.D2 | 5903 | ? | 0 | 4096 | storecounta<8> | NULL | NULL | storecounta<8>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | storecounta<9>.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<9> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<9> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<8> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<5> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<8>.CLKF | 5904 | ? | 0 | 4096 | storecounta<8> | NULL | NULL | storecounta<8>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<8>.REG | storecounta<8> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<8>.D | 5901 | ? | 0 | 0 | storecounta<8> | NULL | NULL | storecounta<8>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<8>.CLKF | 5904 | ? | 0 | 4096 | storecounta<8> | NULL | NULL | storecounta<8>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<8>.Q | 5905 | ? | 0 | 0 | storecounta<8> | NULL | NULL | storecounta<8>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<9> | counta_COPY_0_COPY_0 | 2155873280 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<10> | 5813 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<10>.Q | storecounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<8> | 5821 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<8>.Q | storecounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP8_.EXP | 6091 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP8_.EXP | EXP8_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | storecounta<9>$Q | 5822 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<9>.Q | storecounta<9> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<9> | 5823 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<9>.Q | storecounta<9> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | storecounta<9>.EXP | 6090 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<9>.EXP | storecounta<9> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | storecounta<9>.SI | storecounta<9> | 0 | 10 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<10> | 5813 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<10>.Q | storecounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<8> | 5821 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<8>.Q | storecounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP8_.EXP | 6091 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP8_.EXP | EXP8_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<9>.D1 | 5907 | ? | 0 | 4096 | storecounta<9> | NULL | NULL | storecounta<9>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<9>.D2 | 5908 | ? | 0 | 4096 | storecounta<9> | NULL | NULL | storecounta<9>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP8_.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<10> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<10> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<9>.CLKF | 5909 | ? | 0 | 4096 | storecounta<9> | NULL | NULL | storecounta<9>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | storecounta<9>.EXP | 6083 | ? | 0 | 0 | storecounta<9> | NULL | NULL | storecounta<9>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<8> | IV_FALSE | HZIN_IBUF +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | storecounta<9>.REG | storecounta<9> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<9>.D | 5906 | ? | 0 | 0 | storecounta<9> | NULL | NULL | storecounta<9>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<9>.CLKF | 5909 | ? | 0 | 4096 | storecounta<9> | NULL | NULL | storecounta<9>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<9>.Q | 5910 | ? | 0 | 0 | storecounta<9> | NULL | NULL | storecounta<9>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | alreadystoredcnt<0> | counta_COPY_0_COPY_0 | 2155873536 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<3> | 5840 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<3>.Q | storecounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0>.EXP | 6109 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.EXP | waitnow<0> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | alreadystoredcnt<0>.EXP | 6108 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.EXP | alreadystoredcnt<0> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | alreadystoredcnt<0>.SI | alreadystoredcnt<0> | 0 | 9 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<3> | 5840 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<3>.Q | storecounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0>.EXP | 6109 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.EXP | waitnow<0> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | alreadystoredcnt<0>.D1 | 5912 | ? | 0 | 4096 | alreadystoredcnt<0> | NULL | NULL | alreadystoredcnt<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | alreadystoredcnt<0>.D2 | 5913 | ? | 0 | 4096 | alreadystoredcnt<0> | NULL | NULL | alreadystoredcnt<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | waitnow<0>.EXP +SPPTERM | 2 | IV_FALSE | alreadystoredcnt<0> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | alreadystoredcnt<0>.CLKF | 5914 | ? | 0 | 4096 | alreadystoredcnt<0> | NULL | NULL | alreadystoredcnt<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | alreadystoredcnt<0>.EXP | 6097 | ? | 0 | 0 | alreadystoredcnt<0> | NULL | NULL | alreadystoredcnt<0>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<3> +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<3> | IV_FALSE | HZIN_IBUF +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | alreadystoredcnt<0>.REG | alreadystoredcnt<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | alreadystoredcnt<0>.D | 5911 | ? | 0 | 0 | alreadystoredcnt<0> | NULL | NULL | alreadystoredcnt<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | alreadystoredcnt<0>.CLKF | 5914 | ? | 0 | 4096 | alreadystoredcnt<0> | NULL | NULL | alreadystoredcnt<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | alreadystoredcnt<0>.Q | 5915 | ? | 0 | 0 | alreadystoredcnt<0> | NULL | NULL | alreadystoredcnt<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | resetclk<0> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<5> | 5842 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<5>.Q | storecounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | resetclk<0>.EXP | 6116 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.EXP | resetclk<0> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | resetclk<0>.SI | resetclk<0> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<5> | 5842 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<5>.Q | storecounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | resetclk<0>.D1 | 5917 | ? | 0 | 4096 | resetclk<0> | NULL | NULL | resetclk<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | resetclk<0>.D2 | 5918 | ? | 0 | 4096 | resetclk<0> | NULL | NULL | resetclk<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | resetclk<0>.CLKF | 5919 | ? | 0 | 4096 | resetclk<0> | NULL | NULL | resetclk<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | resetclk<0>.EXP | 6105 | ? | 0 | 0 | resetclk<0> | NULL | NULL | resetclk<0>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<5> +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<5> | IV_FALSE | HZIN_IBUF +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | resetclk<0>.REG | resetclk<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | resetclk<0>.D | 5916 | ? | 0 | 0 | resetclk<0> | NULL | NULL | resetclk<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | resetclk<0>.CLKF | 5919 | ? | 0 | 4096 | resetclk<0> | NULL | NULL | resetclk<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | resetclk<0>.Q | 5920 | ? | 0 | 0 | resetclk<0> | NULL | NULL | resetclk<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | uartskip<0> | counta_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | uartskip<0>.SI | uartskip<0> | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | uartskip<0>.D1 | 5922 | ? | 0 | 4096 | uartskip<0> | NULL | NULL | uartskip<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | uartskip<0>.D2 | 5923 | ? | 0 | 4096 | uartskip<0> | NULL | NULL | uartskip<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | waitnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_FALSE | uartskip<0> +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | uartskip<0>.CLKF | 5924 | ? | 0 | 4096 | uartskip<0> | NULL | NULL | uartskip<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | uartskip<0>.REG | uartskip<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | uartskip<0>.D | 5921 | ? | 0 | 0 | uartskip<0> | NULL | NULL | uartskip<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | uartskip<0>.CLKF | 5924 | ? | 0 | 4096 | uartskip<0> | NULL | NULL | uartskip<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | uartskip<0>.Q | 5925 | ? | 0 | 0 | uartskip<0> | NULL | NULL | uartskip<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | uartctr<0> | counta_COPY_0_COPY_0 | 2155877376 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1>.EXP | 6111 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.EXP | uartctr<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | uartctr<0>.EXP | 6112 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.EXP | uartctr<0> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | uartctr<0>.SI | uartctr<0> | 0 | 15 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1>.EXP | 6111 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.EXP | uartctr<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | uartctr<0>.D1 | 5927 | ? | 0 | 4096 | uartctr<0> | NULL | NULL | uartctr<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | uartctr<0>.D2 | 5928 | ? | 0 | 4096 | uartctr<0> | NULL | NULL | uartctr<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | uartctr<1>.EXP +SPPTERM | 5 | IV_FALSE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> +SPPTERM | 9 | IV_TRUE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | uartctr<0>.CLKF | 5929 | ? | 0 | 4096 | uartctr<0> | NULL | NULL | uartctr<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | uartctr<0>.EXP | 6101 | ? | 0 | 0 | uartctr<0> | NULL | NULL | uartctr<0>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> +SPPTERM | 4 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> + +SRFF_INSTANCE | uartctr<0>.REG | uartctr<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | uartctr<0>.D | 5926 | ? | 0 | 0 | uartctr<0> | NULL | NULL | uartctr<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | uartctr<0>.CLKF | 5929 | ? | 0 | 4096 | uartctr<0> | NULL | NULL | uartctr<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | uartctr<0>.Q | 5930 | ? | 0 | 0 | uartctr<0> | NULL | NULL | uartctr<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | uartctr<1> | counta_COPY_0_COPY_0 | 2155877376 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | uartctr<1>.EXP | 6111 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.EXP | uartctr<1> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | uartctr<1>.SI | uartctr<1> | 0 | 12 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | uartctr<1>.D1 | 5932 | ? | 0 | 4096 | uartctr<1> | NULL | NULL | uartctr<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | uartctr<1>.D2 | 5933 | ? | 0 | 4096 | uartctr<1> | NULL | NULL | uartctr<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_TRUE | uartctr<0> +SPPTERM | 9 | IV_TRUE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> +SPPTERM | 9 | IV_TRUE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | uartctr<1>.CLKF | 5934 | ? | 0 | 4096 | uartctr<1> | NULL | NULL | uartctr<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | uartctr<1>.EXP | 6100 | ? | 0 | 0 | uartctr<1> | NULL | NULL | uartctr<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 9 | IV_TRUE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> + +SRFF_INSTANCE | uartctr<1>.REG | uartctr<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | uartctr<1>.D | 5931 | ? | 0 | 0 | uartctr<1> | NULL | NULL | uartctr<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | uartctr<1>.CLKF | 5934 | ? | 0 | 4096 | uartctr<1> | NULL | NULL | uartctr<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | uartctr<1>.Q | 5935 | ? | 0 | 0 | uartctr<1> | NULL | NULL | uartctr<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | uartctr<2> | counta_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | uartctr<2>.SI | uartctr<2> | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | uartctr<2>.D1 | 5937 | ? | 0 | 4096 | uartctr<2> | NULL | NULL | uartctr<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | uartctr<2>.D2 | 5938 | ? | 0 | 4096 | uartctr<2> | NULL | NULL | uartctr<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_FALSE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> +SPPTERM | 9 | IV_TRUE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> +SPPTERM | 9 | IV_TRUE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | uartctr<2>.CLKF | 5939 | ? | 0 | 4096 | uartctr<2> | NULL | NULL | uartctr<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | uartctr<2>.REG | uartctr<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | uartctr<2>.D | 5936 | ? | 0 | 0 | uartctr<2> | NULL | NULL | uartctr<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | uartctr<2>.CLKF | 5939 | ? | 0 | 4096 | uartctr<2> | NULL | NULL | uartctr<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | uartctr<2>.Q | 5940 | ? | 0 | 0 | uartctr<2> | NULL | NULL | uartctr<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | uartctr<3> | counta_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | uartctr<3>.SI | uartctr<3> | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | uartctr<3>.D1 | 5942 | ? | 0 | 4096 | uartctr<3> | NULL | NULL | uartctr<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | uartctr<3>.D2 | 5943 | ? | 0 | 4096 | uartctr<3> | NULL | NULL | uartctr<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 8 | IV_FALSE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> +SPPTERM | 9 | IV_TRUE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> +SPPTERM | 9 | IV_TRUE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | uartctr<3>.CLKF | 5944 | ? | 0 | 4096 | uartctr<3> | NULL | NULL | uartctr<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | uartctr<3>.REG | uartctr<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | uartctr<3>.D | 5941 | ? | 0 | 0 | uartctr<3> | NULL | NULL | uartctr<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | uartctr<3>.CLKF | 5944 | ? | 0 | 4096 | uartctr<3> | NULL | NULL | uartctr<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | uartctr<3>.Q | 5945 | ? | 0 | 0 | uartctr<3> | NULL | NULL | uartctr<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<18> | counta_COPY_0_COPY_0 | 2155873280 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<18> | 5831 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<18>.Q | storecounta<18> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<17> | 5839 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<17>.Q | storecounta<17> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 6127 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<18> | 5831 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<18>.Q | storecounta<18> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | storecounta<18>.EXP | 6128 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<18>.EXP | storecounta<18> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | storecounta<18>.SI | storecounta<18> | 0 | 10 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<18> | 5831 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<18>.Q | storecounta<18> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<17> | 5839 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<17>.Q | storecounta<17> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 6127 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<18>.D1 | 5947 | ? | 0 | 4096 | storecounta<18> | NULL | NULL | storecounta<18>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<18>.D2 | 5948 | ? | 0 | 4096 | storecounta<18> | NULL | NULL | storecounta<18>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SPPTERM | 2 | IV_TRUE | uartnow<0> | IV_FALSE | resetclk<0> +SPPTERM | 2 | IV_FALSE | resetclk<0> | IV_TRUE | storecounta<18> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<18>.CLKF | 5949 | ? | 0 | 4096 | storecounta<18> | NULL | NULL | storecounta<18>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | storecounta<18>.EXP | 6122 | ? | 0 | 0 | storecounta<18> | NULL | NULL | storecounta<18>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | storecounta<17> | IV_TRUE | HZIN_IBUF +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | storecounta<18>.REG | storecounta<18> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<18>.D | 5946 | ? | 0 | 0 | storecounta<18> | NULL | NULL | storecounta<18>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<18>.CLKF | 5949 | ? | 0 | 4096 | storecounta<18> | NULL | NULL | storecounta<18>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<18>.Q | 5950 | ? | 0 | 0 | storecounta<18> | NULL | NULL | storecounta<18>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<1> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<2> | 5833 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<2>.Q | storecounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<1> | 5832 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<1>.Q | storecounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<2>.EXP | 6115 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<2>.EXP | storecounta<2> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<1> | 5832 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<1>.Q | storecounta<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<1>.SI | storecounta<1> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<2> | 5833 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<2>.Q | storecounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<1> | 5832 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<1>.Q | storecounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<2>.EXP | 6115 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<2>.EXP | storecounta<2> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<1>.D1 | 5952 | ? | 0 | 4096 | storecounta<1> | NULL | NULL | storecounta<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<1>.D2 | 5953 | ? | 0 | 4096 | storecounta<1> | NULL | NULL | storecounta<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | storecounta<2>.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_FALSE | resetclk<0> | IV_TRUE | storecounta<2> +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_FALSE | resetclk<0> | IV_TRUE | storecounta<1> +SPPTERM | 4 | IV_TRUE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | storecounta<2> | IV_TRUE | HZIN_IBUF +SPPTERM | 4 | IV_FALSE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | storecounta<1> | IV_TRUE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<1>.CLKF | 5954 | ? | 0 | 4096 | storecounta<1> | NULL | NULL | storecounta<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<1>.REG | storecounta<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<1>.D | 5951 | ? | 0 | 0 | storecounta<1> | NULL | NULL | storecounta<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<1>.CLKF | 5954 | ? | 0 | 4096 | storecounta<1> | NULL | NULL | storecounta<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<1>.Q | 5955 | ? | 0 | 0 | storecounta<1> | NULL | NULL | storecounta<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<2> | counta_COPY_0_COPY_0 | 2155873280 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<3> | 5840 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<3>.Q | storecounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1>.EXP | 6114 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.EXP | clkcounta<1> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<2> | 5833 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<2>.Q | storecounta<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | storecounta<2>.EXP | 6115 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<2>.EXP | storecounta<2> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | storecounta<2>.SI | storecounta<2> | 0 | 9 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<3> | 5840 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<3>.Q | storecounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1>.EXP | 6114 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.EXP | clkcounta<1> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<2>.D1 | 5957 | ? | 0 | 4096 | storecounta<2> | NULL | NULL | storecounta<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<2>.D2 | 5958 | ? | 0 | 4096 | storecounta<2> | NULL | NULL | storecounta<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | clkcounta<1>.EXP +SPPTERM | 2 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<3> +SPPTERM | 2 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> +SPPTERM | 2 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<2>.CLKF | 5959 | ? | 0 | 4096 | storecounta<2> | NULL | NULL | storecounta<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | storecounta<2>.EXP | 6104 | ? | 0 | 0 | storecounta<2> | NULL | NULL | storecounta<2>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | storecounta<2>.REG | storecounta<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<2>.D | 5956 | ? | 0 | 0 | storecounta<2> | NULL | NULL | storecounta<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<2>.CLKF | 5959 | ? | 0 | 4096 | storecounta<2> | NULL | NULL | storecounta<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<2>.Q | 5960 | ? | 0 | 0 | storecounta<2> | NULL | NULL | storecounta<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | uartctr<4> | counta_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | uartctr<4>.SI | uartctr<4> | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0> | 5827 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.Q | uartctr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<1> | 5828 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<1>.Q | uartctr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<2> | 5829 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<2>.Q | uartctr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<3> | 5830 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<3>.Q | uartctr<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<4> | 5834 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<4>.Q | uartctr<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | uartctr<4>.D1 | 5962 | ? | 0 | 4096 | uartctr<4> | NULL | NULL | uartctr<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | uartctr<4>.D2 | 5963 | ? | 0 | 4096 | uartctr<4> | NULL | NULL | uartctr<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 9 | IV_TRUE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> +SPPTERM | 9 | IV_FALSE | waitnow<0> | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> +SPPTERM | 9 | IV_TRUE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartctr<0> | IV_TRUE | uartctr<1> | IV_TRUE | uartctr<2> | IV_TRUE | uartctr<3> | IV_TRUE | uartctr<4> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | uartctr<4>.CLKF | 5964 | ? | 0 | 4096 | uartctr<4> | NULL | NULL | uartctr<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | uartctr<4>.REG | uartctr<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | uartctr<4>.D | 5961 | ? | 0 | 0 | uartctr<4> | NULL | NULL | uartctr<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | uartctr<4>.CLKF | 5964 | ? | 0 | 4096 | uartctr<4> | NULL | NULL | uartctr<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | uartctr<4>.Q | 5965 | ? | 0 | 0 | uartctr<4> | NULL | NULL | uartctr<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<13> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<14> | 5836 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<14>.Q | storecounta<14> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<10> | 5848 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<10>.Q | clkcounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<13> | 5835 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<13>.Q | storecounta<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP12_.EXP | 6096 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<13> | 5835 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<13>.Q | storecounta<13> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<13>.SI | storecounta<13> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<14> | 5836 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<14>.Q | storecounta<14> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<10> | 5848 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<10>.Q | clkcounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<13> | 5835 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<13>.Q | storecounta<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP12_.EXP | 6096 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<13>.D1 | 5967 | ? | 0 | 4096 | storecounta<13> | NULL | NULL | storecounta<13>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<13>.D2 | 5968 | ? | 0 | 4096 | storecounta<13> | NULL | NULL | storecounta<13>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP12_.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<14> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<14> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<13> +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<10> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<13>.CLKF | 5969 | ? | 0 | 4096 | storecounta<13> | NULL | NULL | storecounta<13>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<13>.REG | storecounta<13> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<13>.D | 5966 | ? | 0 | 0 | storecounta<13> | NULL | NULL | storecounta<13>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<13>.CLKF | 5969 | ? | 0 | 4096 | storecounta<13> | NULL | NULL | storecounta<13>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<13>.Q | 5970 | ? | 0 | 0 | storecounta<13> | NULL | NULL | storecounta<13>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<14> | counta_COPY_0_COPY_0 | 2155873280 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<15> | 5837 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<15>.Q | storecounta<15> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP13_.EXP | 6126 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<14> | 5836 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<14>.Q | storecounta<14> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | storecounta<14>.EXP | 6125 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<14>.EXP | storecounta<14> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | storecounta<14>.SI | storecounta<14> | 0 | 9 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<15> | 5837 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<15>.Q | storecounta<15> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP13_.EXP | 6126 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<14>.D1 | 5972 | ? | 0 | 4096 | storecounta<14> | NULL | NULL | storecounta<14>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<14>.D2 | 5973 | ? | 0 | 4096 | storecounta<14> | NULL | NULL | storecounta<14>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP13_.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<15> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<15> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<14>.CLKF | 5974 | ? | 0 | 4096 | storecounta<14> | NULL | NULL | storecounta<14>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | storecounta<14>.EXP | 6119 | ? | 0 | 0 | storecounta<14> | NULL | NULL | storecounta<14>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<15> | IV_FALSE | HZIN_IBUF +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | storecounta<14>.REG | storecounta<14> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<14>.D | 5971 | ? | 0 | 0 | storecounta<14> | NULL | NULL | storecounta<14>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<14>.CLKF | 5974 | ? | 0 | 4096 | storecounta<14> | NULL | NULL | storecounta<14>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<14>.Q | 5975 | ? | 0 | 0 | storecounta<14> | NULL | NULL | storecounta<14>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<15> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<16> | 5838 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<16>.Q | storecounta<16> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<12> | 5859 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<12>.Q | clkcounta<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<15> | 5837 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<15>.Q | storecounta<15> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<14>.EXP | 6125 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<14>.EXP | storecounta<14> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<15> | 5837 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<15>.Q | storecounta<15> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<15>.SI | storecounta<15> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<16> | 5838 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<16>.Q | storecounta<16> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<12> | 5859 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<12>.Q | clkcounta<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<15> | 5837 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<15>.Q | storecounta<15> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<14>.EXP | 6125 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<14>.EXP | storecounta<14> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<15>.D1 | 5977 | ? | 0 | 4096 | storecounta<15> | NULL | NULL | storecounta<15>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<15>.D2 | 5978 | ? | 0 | 4096 | storecounta<15> | NULL | NULL | storecounta<15>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | storecounta<14>.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<16> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<16> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<15> +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<12> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<15>.CLKF | 5979 | ? | 0 | 4096 | storecounta<15> | NULL | NULL | storecounta<15>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<15>.REG | storecounta<15> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<15>.D | 5976 | ? | 0 | 0 | storecounta<15> | NULL | NULL | storecounta<15>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<15>.CLKF | 5979 | ? | 0 | 4096 | storecounta<15> | NULL | NULL | storecounta<15>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<15>.Q | 5980 | ? | 0 | 0 | storecounta<15> | NULL | NULL | storecounta<15>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<16> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<17> | 5839 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<17>.Q | storecounta<17> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<16> | 5838 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<16>.Q | storecounta<16> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<17>.EXP | 6129 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<17>.EXP | storecounta<17> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<16> | 5838 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<16>.Q | storecounta<16> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<16>.SI | storecounta<16> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<17> | 5839 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<17>.Q | storecounta<17> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<16> | 5838 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<16>.Q | storecounta<16> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<17>.EXP | 6129 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<17>.EXP | storecounta<17> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<16>.D1 | 5982 | ? | 0 | 4096 | storecounta<16> | NULL | NULL | storecounta<16>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<16>.D2 | 5983 | ? | 0 | 4096 | storecounta<16> | NULL | NULL | storecounta<16>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | storecounta<17>.EXP +SPPTERM | 2 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<17> +SPPTERM | 2 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<16> +SPPTERM | 2 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> +SPPTERM | 2 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<16>.CLKF | 5984 | ? | 0 | 4096 | storecounta<16> | NULL | NULL | storecounta<16>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<16>.REG | storecounta<16> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<16>.D | 5981 | ? | 0 | 0 | storecounta<16> | NULL | NULL | storecounta<16>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<16>.CLKF | 5984 | ? | 0 | 4096 | storecounta<16> | NULL | NULL | storecounta<16>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<16>.Q | 5985 | ? | 0 | 0 | storecounta<16> | NULL | NULL | storecounta<16>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<17> | counta_COPY_0_COPY_0 | 2155873280 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<18> | 5831 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<18>.Q | storecounta<18> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<17> | 5839 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<17>.Q | storecounta<17> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<18>.EXP | 6128 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<18>.EXP | storecounta<18> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<17> | 5839 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<17>.Q | storecounta<17> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | storecounta<17>.EXP | 6129 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<17>.EXP | storecounta<17> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | storecounta<17>.SI | storecounta<17> | 0 | 10 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<18> | 5831 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<18>.Q | storecounta<18> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<17> | 5839 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<17>.Q | storecounta<17> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<18>.EXP | 6128 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<18>.EXP | storecounta<18> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<17>.D1 | 5987 | ? | 0 | 4096 | storecounta<17> | NULL | NULL | storecounta<17>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<17>.D2 | 5988 | ? | 0 | 4096 | storecounta<17> | NULL | NULL | storecounta<17>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | storecounta<18>.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_FALSE | resetclk<0> | IV_TRUE | storecounta<18> +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_FALSE | resetclk<0> | IV_TRUE | storecounta<17> +SPPTERM | 4 | IV_TRUE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | storecounta<18> | IV_TRUE | HZIN_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<17>.CLKF | 5989 | ? | 0 | 4096 | storecounta<17> | NULL | NULL | storecounta<17>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | storecounta<17>.EXP | 6123 | ? | 0 | 0 | storecounta<17> | NULL | NULL | storecounta<17>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | storecounta<17>.REG | storecounta<17> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<17>.D | 5986 | ? | 0 | 0 | storecounta<17> | NULL | NULL | storecounta<17>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<17>.CLKF | 5989 | ? | 0 | 4096 | storecounta<17> | NULL | NULL | storecounta<17>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<17>.Q | 5990 | ? | 0 | 0 | storecounta<17> | NULL | NULL | storecounta<17>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<3> | counta_COPY_0_COPY_0 | 2155873280 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<4> | 5841 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<4>.Q | storecounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0>.EXP | 6108 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.EXP | alreadystoredcnt<0> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<3> | 5840 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<3>.Q | storecounta<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | storecounta<3>.EXP | 6118 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<3>.EXP | storecounta<3> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | storecounta<3>.SI | storecounta<3> | 0 | 10 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<4> | 5841 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<4>.Q | storecounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0>.EXP | 6108 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.EXP | alreadystoredcnt<0> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<3>.D1 | 5992 | ? | 0 | 4096 | storecounta<3> | NULL | NULL | storecounta<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<3>.D2 | 5993 | ? | 0 | 4096 | storecounta<3> | NULL | NULL | storecounta<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | alreadystoredcnt<0>.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<4> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<4> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<3>.CLKF | 5994 | ? | 0 | 4096 | storecounta<3> | NULL | NULL | storecounta<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | storecounta<3>.EXP | 6107 | ? | 0 | 0 | storecounta<3> | NULL | NULL | storecounta<3>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | storecounta<3>.REG | storecounta<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<3>.D | 5991 | ? | 0 | 0 | storecounta<3> | NULL | NULL | storecounta<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<3>.CLKF | 5994 | ? | 0 | 4096 | storecounta<3> | NULL | NULL | storecounta<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<3>.Q | 5995 | ? | 0 | 0 | storecounta<3> | NULL | NULL | storecounta<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<4> | counta_COPY_0_COPY_0 | 2155873280 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<5> | 5842 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<5>.Q | storecounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<4> | 5841 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<4>.Q | storecounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<5>.EXP | 6117 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<5>.EXP | storecounta<5> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<3>.EXP | 6118 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<3>.EXP | storecounta<3> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<4> | 5841 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<4>.Q | storecounta<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<4>.SI | storecounta<4> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<5> | 5842 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<5>.Q | storecounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<4> | 5841 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<4>.Q | storecounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<5>.EXP | 6117 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<5>.EXP | storecounta<5> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<3>.EXP | 6118 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<3>.EXP | storecounta<3> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<4>.D1 | 5997 | ? | 0 | 4096 | storecounta<4> | NULL | NULL | storecounta<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<4>.D2 | 5998 | ? | 0 | 4096 | storecounta<4> | NULL | NULL | storecounta<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | storecounta<5>.EXP +SPPTERM | 1 | IV_TRUE | storecounta<3>.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<5> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<5> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<4> +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<1> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<4>.CLKF | 5999 | ? | 0 | 4096 | storecounta<4> | NULL | NULL | storecounta<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<4>.REG | storecounta<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<4>.D | 5996 | ? | 0 | 0 | storecounta<4> | NULL | NULL | storecounta<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<4>.CLKF | 5999 | ? | 0 | 4096 | storecounta<4> | NULL | NULL | storecounta<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<4>.Q | 6000 | ? | 0 | 0 | storecounta<4> | NULL | NULL | storecounta<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<5> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<6> | 5843 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<6>.Q | storecounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<4> | 5841 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<4>.Q | storecounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0>.EXP | 6116 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.EXP | resetclk<0> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<5> | 5842 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<5>.Q | storecounta<5> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | storecounta<5>.EXP | 6117 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<5>.EXP | storecounta<5> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | storecounta<5>.SI | storecounta<5> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<6> | 5843 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<6>.Q | storecounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<4> | 5841 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<4>.Q | storecounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0>.EXP | 6116 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.EXP | resetclk<0> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<5>.D1 | 6002 | ? | 0 | 4096 | storecounta<5> | NULL | NULL | storecounta<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<5>.D2 | 6003 | ? | 0 | 4096 | storecounta<5> | NULL | NULL | storecounta<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | resetclk<0>.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<6> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<6> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<2> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<5>.CLKF | 6004 | ? | 0 | 4096 | storecounta<5> | NULL | NULL | storecounta<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | storecounta<5>.EXP | 6106 | ? | 0 | 0 | storecounta<5> | NULL | NULL | storecounta<5>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<4> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | storecounta<5>.REG | storecounta<5> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<5>.D | 6001 | ? | 0 | 0 | storecounta<5> | NULL | NULL | storecounta<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<5>.CLKF | 6004 | ? | 0 | 4096 | storecounta<5> | NULL | NULL | storecounta<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<5>.Q | 6005 | ? | 0 | 0 | storecounta<5> | NULL | NULL | storecounta<5>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<6> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<7> | 5819 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<7>.Q | storecounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<6> | 5843 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<6>.Q | storecounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 6130 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<6> | 5843 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<6>.Q | storecounta<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<6>.SI | storecounta<6> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<7> | 5819 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<7>.Q | storecounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<6> | 5843 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<6>.Q | storecounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 6130 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<6>.D1 | 6007 | ? | 0 | 4096 | storecounta<6> | NULL | NULL | storecounta<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<6>.D2 | 6008 | ? | 0 | 4096 | storecounta<6> | NULL | NULL | storecounta<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<7> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_TRUE | storecounta<7> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<6> +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<3> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<6>.CLKF | 6009 | ? | 0 | 4096 | storecounta<6> | NULL | NULL | storecounta<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<6>.REG | storecounta<6> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<6>.D | 6006 | ? | 0 | 0 | storecounta<6> | NULL | NULL | storecounta<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<6>.CLKF | 6009 | ? | 0 | 4096 | storecounta<6> | NULL | NULL | storecounta<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<6>.Q | 6010 | ? | 0 | 0 | storecounta<6> | NULL | NULL | storecounta<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | storecounta<0> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<1> | 5832 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<1>.Q | storecounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<0>.UIM | 5846 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<0>.Q | storecounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0>.EXP | 6110 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.EXP | clkcounta<0> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | storecounta<0> | 5845 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<0>.Q | storecounta<0> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | storecounta<0>.UIM | 5846 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<0>.Q | storecounta<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | storecounta<0>.SI | storecounta<0> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<1> | 5832 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<1>.Q | storecounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<0>.UIM | 5846 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<0>.Q | storecounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0>.EXP | 6110 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.EXP | clkcounta<0> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | storecounta<0>.D1 | 6012 | ? | 0 | 4096 | storecounta<0> | NULL | NULL | storecounta<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | storecounta<0>.D2 | 6013 | ? | 0 | 4096 | storecounta<0> | NULL | NULL | storecounta<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | clkcounta<0>.EXP +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_FALSE | resetclk<0> | IV_TRUE | storecounta<1> +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_FALSE | resetclk<0> | IV_TRUE | storecounta<0>.UIM +SPPTERM | 4 | IV_TRUE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | storecounta<1> | IV_TRUE | HZIN_IBUF +SPPTERM | 4 | IV_FALSE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | storecounta<0>.UIM +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | storecounta<0>.CLKF | 6014 | ? | 0 | 4096 | storecounta<0> | NULL | NULL | storecounta<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | storecounta<0>.REG | storecounta<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | storecounta<0>.D | 6011 | ? | 0 | 0 | storecounta<0> | NULL | NULL | storecounta<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | storecounta<0>.CLKF | 6014 | ? | 0 | 4096 | storecounta<0> | NULL | NULL | storecounta<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | storecounta<0>.Q | 6015 | ? | 0 | 0 | storecounta<0> | NULL | NULL | storecounta<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | clkcounta<0> | counta_COPY_0_COPY_0 | 2155873280 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | clkcounta<0>.EXP | 6110 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.EXP | clkcounta<0> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | clkcounta<0>.SI | clkcounta<0> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<0>.D1 | 6017 | ? | 0 | 4096 | clkcounta<0> | NULL | NULL | clkcounta<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<0>.D2 | 6018 | ? | 0 | 4096 | clkcounta<0> | NULL | NULL | clkcounta<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | resetclk<0> | IV_FALSE | clkcounta<0> +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_FALSE | clkcounta<0> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<0>.CLKF | 6019 | ? | 0 | 4096 | clkcounta<0> | NULL | NULL | clkcounta<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | clkcounta<0>.EXP | 6099 | ? | 0 | 0 | clkcounta<0> | NULL | NULL | clkcounta<0>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | clkcounta<0>.REG | clkcounta<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<0>.D | 6016 | ? | 0 | 0 | clkcounta<0> | NULL | NULL | clkcounta<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<0>.CLKF | 6019 | ? | 0 | 4096 | clkcounta<0> | NULL | NULL | clkcounta<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<0>.Q | 6020 | ? | 0 | 0 | clkcounta<0> | NULL | NULL | clkcounta<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | clkcounta<10> | counta_COPY_0_COPY_0 | 2155877376 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<10> | 5848 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<10>.Q | clkcounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<9> | 5858 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<9>.Q | clkcounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<10> | 5848 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<10>.Q | clkcounta<10> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | clkcounta<10>.SI | clkcounta<10> | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<10> | 5848 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<10>.Q | clkcounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<9> | 5858 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<9>.Q | clkcounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<10>.D1 | 6022 | ? | 0 | 4096 | clkcounta<10> | NULL | NULL | clkcounta<10>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<10>.D2 | 6023 | ? | 0 | 4096 | clkcounta<10> | NULL | NULL | clkcounta<10>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> | IV_TRUE | clkcounta<10> +SPPTERM | 3 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF | IV_TRUE | clkcounta<10> +SPPTERM | 11 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> | IV_TRUE | clkcounta<7> | IV_TRUE | clkcounta<8> | IV_TRUE | clkcounta<9> +SPPTERM | 12 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> | IV_TRUE | clkcounta<7> | IV_TRUE | clkcounta<8> | IV_TRUE | clkcounta<9> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<10>.CLKF | 6024 | ? | 0 | 4096 | clkcounta<10> | NULL | NULL | clkcounta<10>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | clkcounta<10>.REG | clkcounta<10> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<10>.D | 6021 | ? | 0 | 0 | clkcounta<10> | NULL | NULL | clkcounta<10>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<10>.CLKF | 6024 | ? | 0 | 4096 | clkcounta<10> | NULL | NULL | clkcounta<10>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<10>.Q | 6025 | ? | 0 | 0 | clkcounta<10> | NULL | NULL | clkcounta<10>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | clkcounta<11> | counta_COPY_0_COPY_0 | 2155877376 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<11> | 5849 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<11>.Q | clkcounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<10> | 5848 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<10>.Q | clkcounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<9> | 5858 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<9>.Q | clkcounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<11> | 5849 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<11>.Q | clkcounta<11> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | clkcounta<11>.SI | clkcounta<11> | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<11> | 5849 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<11>.Q | clkcounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<10> | 5848 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<10>.Q | clkcounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<9> | 5858 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<9>.Q | clkcounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<11>.D1 | 6027 | ? | 0 | 4096 | clkcounta<11> | NULL | NULL | clkcounta<11>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<11>.D2 | 6028 | ? | 0 | 4096 | clkcounta<11> | NULL | NULL | clkcounta<11>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> | IV_TRUE | clkcounta<11> +SPPTERM | 3 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF | IV_TRUE | clkcounta<11> +SPPTERM | 12 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<10> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> | IV_TRUE | clkcounta<7> | IV_TRUE | clkcounta<8> | IV_TRUE | clkcounta<9> +SPPTERM | 13 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<10> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> | IV_TRUE | clkcounta<7> | IV_TRUE | clkcounta<8> | IV_TRUE | clkcounta<9> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<11>.CLKF | 6029 | ? | 0 | 4096 | clkcounta<11> | NULL | NULL | clkcounta<11>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | clkcounta<11>.REG | clkcounta<11> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<11>.D | 6026 | ? | 0 | 0 | clkcounta<11> | NULL | NULL | clkcounta<11>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<11>.CLKF | 6029 | ? | 0 | 4096 | clkcounta<11> | NULL | NULL | clkcounta<11>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<11>.Q | 6030 | ? | 0 | 0 | clkcounta<11> | NULL | NULL | clkcounta<11>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | clkcounta<1> | counta_COPY_0_COPY_0 | 2155873280 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<2> | 5833 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<2>.Q | storecounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2>.EXP | 6113 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.EXP | clkcounta<2> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | clkcounta<1>.EXP | 6114 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.EXP | clkcounta<1> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | clkcounta<1>.SI | clkcounta<1> | 0 | 11 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<2> | 5833 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<2>.Q | storecounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2>.EXP | 6113 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.EXP | clkcounta<2> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<1>.D1 | 6032 | ? | 0 | 4096 | clkcounta<1> | NULL | NULL | clkcounta<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<1>.D2 | 6033 | ? | 0 | 4096 | clkcounta<1> | NULL | NULL | clkcounta<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | clkcounta<2>.EXP +SPPTERM | 3 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_FALSE | clkcounta<1> +SPPTERM | 3 | IV_FALSE | resetclk<0> | IV_FALSE | clkcounta<0> | IV_TRUE | clkcounta<1> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<1>.CLKF | 6034 | ? | 0 | 4096 | clkcounta<1> | NULL | NULL | clkcounta<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | clkcounta<1>.EXP | 6103 | ? | 0 | 0 | clkcounta<1> | NULL | NULL | clkcounta<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<2> +SPPTERM | 5 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +SRFF_INSTANCE | clkcounta<1>.REG | clkcounta<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<1>.D | 6031 | ? | 0 | 0 | clkcounta<1> | NULL | NULL | clkcounta<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<1>.CLKF | 6034 | ? | 0 | 4096 | clkcounta<1> | NULL | NULL | clkcounta<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<1>.Q | 6035 | ? | 0 | 0 | clkcounta<1> | NULL | NULL | clkcounta<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | clkcounta<2> | counta_COPY_0_COPY_0 | 2155877376 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0>.EXP | 6112 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.EXP | uartctr<0> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | clkcounta<2>.EXP | 6113 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.EXP | clkcounta<2> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | clkcounta<2>.SI | clkcounta<2> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartctr<0>.EXP | 6112 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartctr<0>.EXP | uartctr<0> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<2>.D1 | 6037 | ? | 0 | 4096 | clkcounta<2> | NULL | NULL | clkcounta<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<2>.D2 | 6038 | ? | 0 | 4096 | clkcounta<2> | NULL | NULL | clkcounta<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | uartctr<0>.EXP +SPPTERM | 3 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> | IV_TRUE | clkcounta<2> +SPPTERM | 3 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF | IV_TRUE | clkcounta<2> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<2>.CLKF | 6039 | ? | 0 | 4096 | clkcounta<2> | NULL | NULL | clkcounta<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | clkcounta<2>.EXP | 6102 | ? | 0 | 0 | clkcounta<2> | NULL | NULL | clkcounta<2>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_FALSE | clkcounta<1> +SPPTERM | 4 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_FALSE | clkcounta<0> | IV_TRUE | clkcounta<1> + +SRFF_INSTANCE | clkcounta<2>.REG | clkcounta<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<2>.D | 6036 | ? | 0 | 0 | clkcounta<2> | NULL | NULL | clkcounta<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<2>.CLKF | 6039 | ? | 0 | 4096 | clkcounta<2> | NULL | NULL | clkcounta<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<2>.Q | 6040 | ? | 0 | 0 | clkcounta<2> | NULL | NULL | clkcounta<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | clkcounta<3> | counta_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | clkcounta<3>.SI | clkcounta<3> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<3>.D1 | 6042 | ? | 0 | 4096 | clkcounta<3> | NULL | NULL | clkcounta<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<3>.D2 | 6043 | ? | 0 | 4096 | clkcounta<3> | NULL | NULL | clkcounta<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> | IV_TRUE | clkcounta<3> +SPPTERM | 3 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF | IV_TRUE | clkcounta<3> +SPPTERM | 4 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> +SPPTERM | 5 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<3>.CLKF | 6044 | ? | 0 | 4096 | clkcounta<3> | NULL | NULL | clkcounta<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | clkcounta<3>.REG | clkcounta<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<3>.D | 6041 | ? | 0 | 0 | clkcounta<3> | NULL | NULL | clkcounta<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<3>.CLKF | 6044 | ? | 0 | 4096 | clkcounta<3> | NULL | NULL | clkcounta<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<3>.Q | 6045 | ? | 0 | 0 | clkcounta<3> | NULL | NULL | clkcounta<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | clkcounta<4> | counta_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | clkcounta<4>.SI | clkcounta<4> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<4>.D1 | 6047 | ? | 0 | 4096 | clkcounta<4> | NULL | NULL | clkcounta<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<4>.D2 | 6048 | ? | 0 | 4096 | clkcounta<4> | NULL | NULL | clkcounta<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> | IV_TRUE | clkcounta<4> +SPPTERM | 3 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF | IV_TRUE | clkcounta<4> +SPPTERM | 5 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> +SPPTERM | 6 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<4>.CLKF | 6049 | ? | 0 | 4096 | clkcounta<4> | NULL | NULL | clkcounta<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | clkcounta<4>.REG | clkcounta<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<4>.D | 6046 | ? | 0 | 0 | clkcounta<4> | NULL | NULL | clkcounta<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<4>.CLKF | 6049 | ? | 0 | 4096 | clkcounta<4> | NULL | NULL | clkcounta<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<4>.Q | 6050 | ? | 0 | 0 | clkcounta<4> | NULL | NULL | clkcounta<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | clkcounta<5> | counta_COPY_0_COPY_0 | 2155877376 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | clkcounta<5>.SI | clkcounta<5> | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<5>.D1 | 6052 | ? | 0 | 4096 | clkcounta<5> | NULL | NULL | clkcounta<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<5>.D2 | 6053 | ? | 0 | 4096 | clkcounta<5> | NULL | NULL | clkcounta<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> | IV_TRUE | clkcounta<5> +SPPTERM | 3 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF | IV_TRUE | clkcounta<5> +SPPTERM | 6 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> +SPPTERM | 7 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<5>.CLKF | 6054 | ? | 0 | 4096 | clkcounta<5> | NULL | NULL | clkcounta<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | clkcounta<5>.REG | clkcounta<5> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<5>.D | 6051 | ? | 0 | 0 | clkcounta<5> | NULL | NULL | clkcounta<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<5>.CLKF | 6054 | ? | 0 | 4096 | clkcounta<5> | NULL | NULL | clkcounta<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<5>.Q | 6055 | ? | 0 | 0 | clkcounta<5> | NULL | NULL | clkcounta<5>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | clkcounta<6> | counta_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | clkcounta<6>.SI | clkcounta<6> | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<6>.D1 | 6057 | ? | 0 | 4096 | clkcounta<6> | NULL | NULL | clkcounta<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<6>.D2 | 6058 | ? | 0 | 4096 | clkcounta<6> | NULL | NULL | clkcounta<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> | IV_TRUE | clkcounta<6> +SPPTERM | 3 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF | IV_TRUE | clkcounta<6> +SPPTERM | 7 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> +SPPTERM | 8 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<6>.CLKF | 6059 | ? | 0 | 4096 | clkcounta<6> | NULL | NULL | clkcounta<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | clkcounta<6>.REG | clkcounta<6> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<6>.D | 6056 | ? | 0 | 0 | clkcounta<6> | NULL | NULL | clkcounta<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<6>.CLKF | 6059 | ? | 0 | 4096 | clkcounta<6> | NULL | NULL | clkcounta<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<6>.Q | 6060 | ? | 0 | 0 | clkcounta<6> | NULL | NULL | clkcounta<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | clkcounta<7> | counta_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | clkcounta<7>.SI | clkcounta<7> | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<7>.D1 | 6062 | ? | 0 | 4096 | clkcounta<7> | NULL | NULL | clkcounta<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<7>.D2 | 6063 | ? | 0 | 4096 | clkcounta<7> | NULL | NULL | clkcounta<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> | IV_TRUE | clkcounta<7> +SPPTERM | 3 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF | IV_TRUE | clkcounta<7> +SPPTERM | 8 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> +SPPTERM | 9 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<7>.CLKF | 6064 | ? | 0 | 4096 | clkcounta<7> | NULL | NULL | clkcounta<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | clkcounta<7>.REG | clkcounta<7> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<7>.D | 6061 | ? | 0 | 0 | clkcounta<7> | NULL | NULL | clkcounta<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<7>.CLKF | 6064 | ? | 0 | 4096 | clkcounta<7> | NULL | NULL | clkcounta<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<7>.Q | 6065 | ? | 0 | 0 | clkcounta<7> | NULL | NULL | clkcounta<7>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | clkcounta<8> | counta_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | clkcounta<8>.SI | clkcounta<8> | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<8>.D1 | 6067 | ? | 0 | 4096 | clkcounta<8> | NULL | NULL | clkcounta<8>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<8>.D2 | 6068 | ? | 0 | 4096 | clkcounta<8> | NULL | NULL | clkcounta<8>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> | IV_TRUE | clkcounta<8> +SPPTERM | 3 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF | IV_TRUE | clkcounta<8> +SPPTERM | 9 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> | IV_TRUE | clkcounta<7> +SPPTERM | 10 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> | IV_TRUE | clkcounta<7> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<8>.CLKF | 6069 | ? | 0 | 4096 | clkcounta<8> | NULL | NULL | clkcounta<8>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | clkcounta<8>.REG | clkcounta<8> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<8>.D | 6066 | ? | 0 | 0 | clkcounta<8> | NULL | NULL | clkcounta<8>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<8>.CLKF | 6069 | ? | 0 | 4096 | clkcounta<8> | NULL | NULL | clkcounta<8>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<8>.Q | 6070 | ? | 0 | 0 | clkcounta<8> | NULL | NULL | clkcounta<8>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | clkcounta<9> | counta_COPY_0_COPY_0 | 2155877376 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<9> | 5858 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<9>.Q | clkcounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<9> | 5858 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<9>.Q | clkcounta<9> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | clkcounta<9>.SI | clkcounta<9> | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<9> | 5858 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<9>.Q | clkcounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<9>.D1 | 6072 | ? | 0 | 4096 | clkcounta<9> | NULL | NULL | clkcounta<9>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<9>.D2 | 6073 | ? | 0 | 4096 | clkcounta<9> | NULL | NULL | clkcounta<9>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> | IV_TRUE | clkcounta<9> +SPPTERM | 3 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF | IV_TRUE | clkcounta<9> +SPPTERM | 10 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> | IV_TRUE | clkcounta<7> | IV_TRUE | clkcounta<8> +SPPTERM | 11 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> | IV_TRUE | clkcounta<7> | IV_TRUE | clkcounta<8> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<9>.CLKF | 6074 | ? | 0 | 4096 | clkcounta<9> | NULL | NULL | clkcounta<9>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | clkcounta<9>.REG | clkcounta<9> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<9>.D | 6071 | ? | 0 | 0 | clkcounta<9> | NULL | NULL | clkcounta<9>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<9>.CLKF | 6074 | ? | 0 | 4096 | clkcounta<9> | NULL | NULL | clkcounta<9>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<9>.Q | 6075 | ? | 0 | 0 | clkcounta<9> | NULL | NULL | clkcounta<9>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | clkcounta<12> | counta_COPY_0_COPY_0 | 2155877376 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<12> | 5859 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<12>.Q | clkcounta<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<10> | 5848 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<10>.Q | clkcounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<11> | 5849 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<11>.Q | clkcounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<9> | 5858 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<9>.Q | clkcounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | clkcounta<12> | 5859 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<12>.Q | clkcounta<12> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | clkcounta<12>.SI | clkcounta<12> | 0 | 17 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<12> | 5859 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<12>.Q | clkcounta<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<0> | 5847 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<0>.Q | clkcounta<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<10> | 5848 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<10>.Q | clkcounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<11> | 5849 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<11>.Q | clkcounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<1> | 5850 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<1>.Q | clkcounta<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<2> | 5851 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<2>.Q | clkcounta<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<3> | 5852 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<3>.Q | clkcounta<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<4> | 5853 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<4>.Q | clkcounta<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<5> | 5854 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<5>.Q | clkcounta<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<7> | 5856 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<7>.Q | clkcounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<8> | 5857 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<8>.Q | clkcounta<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<9> | 5858 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<9>.Q | clkcounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | XSTALIN_IBUF | 5807 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | XSTALIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | clkcounta<12>.D1 | 6077 | ? | 0 | 4096 | clkcounta<12> | NULL | NULL | clkcounta<12>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | clkcounta<12>.D2 | 6078 | ? | 0 | 4096 | clkcounta<12> | NULL | NULL | clkcounta<12>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | resetclk<0> | IV_TRUE | clkcounta<12> +SPPTERM | 3 | IV_TRUE | resetclk<0> | IV_FALSE | HZIN_IBUF | IV_TRUE | clkcounta<12> +SPPTERM | 13 | IV_FALSE | resetclk<0> | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<10> | IV_TRUE | clkcounta<11> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> | IV_TRUE | clkcounta<7> | IV_TRUE | clkcounta<8> | IV_TRUE | clkcounta<9> +SPPTERM | 14 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<0> | IV_TRUE | clkcounta<10> | IV_TRUE | clkcounta<11> | IV_TRUE | clkcounta<1> | IV_TRUE | clkcounta<2> | IV_TRUE | clkcounta<3> | IV_TRUE | clkcounta<4> | IV_TRUE | clkcounta<5> | IV_TRUE | clkcounta<6> | IV_TRUE | clkcounta<7> | IV_TRUE | clkcounta<8> | IV_TRUE | clkcounta<9> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | clkcounta<12>.CLKF | 6079 | ? | 0 | 4096 | clkcounta<12> | NULL | NULL | clkcounta<12>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF + +SRFF_INSTANCE | clkcounta<12>.REG | clkcounta<12> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | clkcounta<12>.D | 6076 | ? | 0 | 0 | clkcounta<12> | NULL | NULL | clkcounta<12>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | clkcounta<12>.CLKF | 6079 | ? | 0 | 4096 | clkcounta<12> | NULL | NULL | clkcounta<12>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_TRUE | XSTALIN_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | clkcounta<12>.Q | 6080 | ? | 0 | 0 | clkcounta<12> | NULL | NULL | clkcounta<12>.REG | 0 | 8 | SRFF_Q + +OUTPUT_INSTANCE | 0 | LED<7> | counta_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | waitnow<0>$Q | 5808 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | LED<7> | 5860 | PO | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | LED<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | LED<6> | counta_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | uartnow<0>$Q | 5810 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | LED<6> | 5861 | PO | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | LED<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | LED<3> | counta_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | storecounta<10>$Q | 5812 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<10>.Q | storecounta<10> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | LED<3> | 5862 | PO | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | LED<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | LED<4> | counta_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | storecounta<11>$Q | 5814 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<11>.Q | storecounta<11> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | LED<4> | 5863 | PO | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | LED<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | LED<5> | counta_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | storecounta<12>$Q | 5816 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<12>.Q | storecounta<12> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | LED<5> | 5864 | PO | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | LED<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | LED<0> | counta_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | storecounta<7>$Q | 5818 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<7>.Q | storecounta<7> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | LED<0> | 5865 | PO | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | LED<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | LED<1> | counta_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | storecounta<8>$Q | 5820 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<8>.Q | storecounta<8> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | LED<1> | 5866 | PO | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | LED<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | LED<2> | counta_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | storecounta<9>$Q | 5822 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<9>.Q | storecounta<9> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | LED<2> | 5867 | PO | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | LED<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | TX | counta_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | storecounta<0> | 5845 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<0>.Q | storecounta<0> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | TX | 5868 | PO | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | TX | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | NULL | EXP6_ | counta_COPY_0_COPY_0 | 2147483648 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<7> | 5819 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<7>.Q | storecounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP6_.EXP | 6088 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP6_.SI | EXP6_ | 0 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<7> | 5819 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<7>.Q | storecounta<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP6_.EXP | 6081 | ? | 0 | 0 | EXP6_ | NULL | NULL | EXP6_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<7> | IV_FALSE | HZIN_IBUF + +MACROCELL_INSTANCE | NULL | EXP7_ | counta_COPY_0_COPY_0 | 2147483648 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP7_.EXP | 6089 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP7_.EXP | EXP7_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP7_.SI | EXP7_ | 0 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP7_.EXP | 6082 | ? | 0 | 0 | EXP7_ | NULL | NULL | EXP7_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +MACROCELL_INSTANCE | NULL | EXP8_ | counta_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<9> | 5823 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<9>.Q | storecounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP8_.EXP | 6091 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP8_.EXP | EXP8_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP8_.SI | EXP8_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<9> | 5823 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<9>.Q | storecounta<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<6> | 5855 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<6>.Q | clkcounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP8_.EXP | 6084 | ? | 0 | 0 | EXP8_ | NULL | NULL | EXP8_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<9> | IV_TRUE | alreadystoredcnt<0> +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<9> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<6> +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +MACROCELL_INSTANCE | NULL | EXP9_ | counta_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<10> | 5813 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<10>.Q | storecounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP9_.EXP | 6092 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP9_.EXP | EXP9_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP9_.SI | EXP9_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<10> | 5813 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<10>.Q | storecounta<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP9_.EXP | 6085 | ? | 0 | 0 | EXP9_ | NULL | NULL | EXP9_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<10> | IV_FALSE | HZIN_IBUF +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +MACROCELL_INSTANCE | NULL | EXP10_ | counta_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<11> | 5815 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<11>.Q | storecounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 6093 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<11> | 5815 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<11>.Q | storecounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP10_.EXP | 6086 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<11> | IV_FALSE | HZIN_IBUF +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +MACROCELL_INSTANCE | NULL | EXP11_ | counta_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<12> | 5817 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<12>.Q | storecounta<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 6094 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<12> | 5817 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<12>.Q | storecounta<12> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP11_.EXP | 6087 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<12> | IV_FALSE | HZIN_IBUF +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +MACROCELL_INSTANCE | NULL | EXP12_ | counta_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<13> | 5835 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<13>.Q | storecounta<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 6096 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<13> | 5835 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<13>.Q | storecounta<13> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP12_.EXP | 6095 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<13> | IV_FALSE | HZIN_IBUF +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +MACROCELL_INSTANCE | NULL | EXP13_ | counta_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<14> | 5836 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<14>.Q | storecounta<14> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<11> | 5849 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<11>.Q | clkcounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 6126 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<14> | 5836 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<14>.Q | storecounta<14> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | clkcounta<11> | 5849 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | clkcounta<11>.Q | clkcounta<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP13_.EXP | 6120 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_TRUE | storecounta<14> +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<14> | IV_FALSE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF | IV_TRUE | clkcounta<11> +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +MACROCELL_INSTANCE | NULL | EXP14_ | counta_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<18> | 5831 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<18>.Q | storecounta<18> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 6127 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<18> | 5831 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<18>.Q | storecounta<18> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 6121 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | uartnow<0> | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | HZIN_IBUF +SPPTERM | 3 | IV_FALSE | alreadystoredcnt<0> | IV_TRUE | storecounta<18> | IV_TRUE | HZIN_IBUF +SPPTERM | 5 | IV_TRUE | waitnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +MACROCELL_INSTANCE | NULL | EXP15_ | counta_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<6> | 5843 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<6>.Q | storecounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 6130 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartnow<0> | 5811 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartnow<0>.Q | uartnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | storecounta<6> | 5843 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | storecounta<6>.Q | storecounta<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | HZIN_IBUF | 5844 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | NULL | HZIN_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | waitnow<0> | 5809 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | waitnow<0>.Q | waitnow<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | alreadystoredcnt<0> | 5824 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | alreadystoredcnt<0>.Q | alreadystoredcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | resetclk<0> | 5825 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | resetclk<0>.Q | resetclk<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | uartskip<0> | 5826 | ? | 0 | 0 | counta_COPY_0_COPY_0 | NULL | uartskip<0>.Q | uartskip<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 6124 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | uartnow<0> | IV_TRUE | storecounta<6> | IV_FALSE | HZIN_IBUF +SPPTERM | 6 | IV_TRUE | waitnow<0> | IV_FALSE | uartnow<0> | IV_TRUE | alreadystoredcnt<0> | IV_FALSE | resetclk<0> | IV_TRUE | uartskip<0> | IV_FALSE | HZIN_IBUF + +FB_INSTANCE | FOOBAR1_ | counta_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 5 | EXP6_ | 1 | NULL | 0 | NULL | 0 | 40 | 49152 +FBPIN | 6 | storecounta<7> | 1 | NULL | 0 | LED<0> | 1 | 41 | 49152 +FBPIN | 7 | EXP7_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | storecounta<8> | 1 | NULL | 0 | LED<1> | 1 | 42 | 49152 +FBPIN | 9 | storecounta<9> | 1 | NULL | 0 | LED<2> | 1 | 43 | 57344 +FBPIN | 10 | EXP8_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | storecounta<10> | 1 | NULL | 0 | LED<3> | 1 | 44 | 57344 +FBPIN | 12 | EXP9_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | EXP10_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | storecounta<11> | 1 | NULL | 0 | LED<4> | 1 | 1 | 57344 +FBPIN | 15 | storecounta<12> | 1 | NULL | 0 | LED<5> | 1 | 2 | 49152 +FBPIN | 16 | EXP11_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | uartnow<0> | 1 | NULL | 0 | LED<6> | 1 | 3 | 49152 + +FB_INSTANCE | FOOBAR2_ | counta_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP12_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | clkcounta<9> | 1 | NULL | 0 | NULL | 0 | 32 | 49152 +FBPIN | 9 | clkcounta<8> | 1 | NULL | 0 | NULL | 0 | 33 | 51200 +FBPIN | 10 | clkcounta<7> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | clkcounta<6> | 1 | NULL | 0 | NULL | 0 | 34 | 53248 +FBPIN | 12 | clkcounta<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | clkcounta<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | clkcounta<3> | 1 | NULL | 0 | NULL | 0 | 36 | 53248 +FBPIN | 15 | clkcounta<12> | 1 | NULL | 0 | NULL | 0 | 37 | 49152 +FBPIN | 16 | clkcounta<11> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | clkcounta<10> | 1 | NULL | 0 | NULL | 0 | 38 | 49152 +FBPIN | 18 | storecounta<13> | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR3_ | counta_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | alreadystoredcnt<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | waitnow<0> | 1 | NULL | 0 | LED<7> | 1 | 5 | 49152 +FBPIN | 3 | uartskip<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | clkcounta<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | storecounta<0> | 1 | NULL | 0 | TX | 1 | 6 | 49152 +FBPIN | 6 | uartctr<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | uartctr<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | uartctr<2> | 1 | NULL | 0 | NULL | 0 | 7 | 49152 +FBPIN | 9 | uartctr<1> | 1 | NULL | 0 | NULL | 0 | 8 | 49152 +FBPIN | 10 | uartctr<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | clkcounta<2> | 1 | NULL | 0 | NULL | 0 | 12 | 49152 +FBPIN | 12 | clkcounta<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | storecounta<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | storecounta<1> | 1 | NULL | 0 | NULL | 0 | 13 | 49152 +FBPIN | 15 | resetclk<0> | 1 | NULL | 0 | NULL | 0 | 14 | 49152 +FBPIN | 16 | storecounta<5> | 1 | NULL | 0 | NULL | 0 | 18 | 49152 +FBPIN | 17 | storecounta<4> | 1 | NULL | 0 | NULL | 0 | 16 | 49152 +FBPIN | 18 | storecounta<3> | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR4_ | counta_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | storecounta<14> | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP13_ | 1 | NULL | 0 | NULL | 0 | 19 | 49152 +FBPIN | 5 | NULL | 0 | XSTALIN_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 8 | NULL | 0 | HZIN_IBUF | 1 | NULL | 0 | 21 | 49152 +FBPIN | 12 | EXP14_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | storecounta<18> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | storecounta<17> | 1 | NULL | 0 | NULL | 0 | 23 | 49152 +FBPIN | 15 | storecounta<16> | 1 | NULL | 0 | NULL | 0 | 27 | 49152 +FBPIN | 16 | EXP15_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | storecounta<6> | 1 | NULL | 0 | NULL | 0 | 28 | 49152 +FBPIN | 18 | storecounta<15> | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | INPUTPINS_FOOBAR5_ | counta_COPY_0_COPY_0 | 0 | 0 | 0 + +BUSINFO | LED<7:0> | 8 | 0 | 1 | LED<0> | 7 | LED<1> | 6 | LED<2> | 5 | LED<3> | 4 | LED<4> | 3 | LED<5> | 2 | LED<6> | 1 | LED<7> | 0 + +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | alreadystoredcnt<0> | NULL | 1 | waitnow<0> | NULL | 2 | uartskip<0> | NULL | 5 | storecounta<7> | NULL | 6 | uartctr<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 7 | clkcounta<9> | NULL | 9 | uartctr<0> | NULL | 10 | storecounta<10> | NULL | 11 | HZIN | 21 | 13 | XSTALIN | 20 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 21 | clkcounta<6> | NULL | 22 | storecounta<12> | NULL | 28 | storecounta<9> | NULL | 30 | storecounta<11> | NULL | 31 | uartctr<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 32 | clkcounta<8> | NULL | 34 | storecounta<8> | NULL | 38 | uartctr<1> | NULL | 41 | clkcounta<4> | NULL | 42 | clkcounta<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 46 | uartctr<4> | NULL | 48 | uartnow<0> | NULL | 51 | resetclk<0> | NULL | 52 | clkcounta<7> | NULL | 53 | storecounta<13> | NULL + +FB_IMUX_INDEX | FOOBAR1_ | 36 | 37 | 38 | -1 | -1 | 5 | 42 | 25 | -1 | 45 | 10 | 101 | -1 | 103 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 28 | 14 | -1 | -1 | -1 | -1 | -1 | 8 | -1 | 13 | 43 | 26 | -1 | 7 | -1 | -1 | -1 | 44 | -1 | -1 | 30 | 29 | -1 | -1 | -1 | 41 | -1 | 16 | -1 | -1 | 50 | 27 | 35 + + +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | alreadystoredcnt<0> | NULL | 1 | waitnow<0> | NULL | 2 | uartskip<0> | NULL | 7 | clkcounta<9> | NULL | 9 | clkcounta<7> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 10 | clkcounta<2> | NULL | 11 | HZIN | 21 | 13 | XSTALIN | 20 | 14 | clkcounta<12> | NULL | 19 | clkcounta<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 21 | clkcounta<0> | NULL | 26 | clkcounta<1> | NULL | 27 | clkcounta<10> | NULL | 32 | clkcounta<8> | NULL | 37 | storecounta<14> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 41 | clkcounta<4> | NULL | 42 | clkcounta<5> | NULL | 43 | clkcounta<6> | NULL | 44 | clkcounta<11> | NULL | 48 | uartnow<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 51 | resetclk<0> | NULL | 53 | storecounta<13> | NULL + +FB_IMUX_INDEX | FOOBAR2_ | 36 | 37 | 38 | -1 | -1 | -1 | -1 | 25 | -1 | 27 | 46 | 101 | -1 | 103 | 32 | -1 | -1 | -1 | -1 | 31 | -1 | 39 | -1 | -1 | -1 | -1 | 47 | 34 | -1 | -1 | -1 | -1 | 26 | -1 | -1 | -1 | -1 | 54 | -1 | -1 | -1 | 30 | 29 | 28 | 33 | -1 | -1 | -1 | 16 | -1 | -1 | 50 | -1 | 35 + + +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | alreadystoredcnt<0> | NULL | 1 | waitnow<0> | NULL | 2 | uartskip<0> | NULL | 4 | storecounta<0>.UIM | NULL | 6 | uartctr<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 9 | uartctr<0> | NULL | 11 | HZIN | 21 | 13 | XSTALIN | 20 | 21 | clkcounta<0> | NULL | 22 | uartctr<4> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 25 | storecounta<1> | NULL | 26 | clkcounta<1> | NULL | 31 | uartctr<2> | NULL | 34 | storecounta<2> | NULL | 36 | storecounta<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 37 | clkcounta<2> | NULL | 38 | uartctr<1> | NULL | 44 | storecounta<4> | NULL | 46 | storecounta<6> | NULL | 48 | uartnow<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 51 | resetclk<0> | NULL | 52 | storecounta<3> | NULL + +FB_IMUX_INDEX | FOOBAR3_ | 36 | 37 | 38 | -1 | 40 | -1 | 42 | -1 | -1 | 45 | -1 | 101 | -1 | 103 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 39 | 41 | -1 | -1 | 49 | 47 | -1 | -1 | -1 | -1 | 43 | -1 | -1 | 48 | -1 | 51 | 46 | 44 | -1 | -1 | -1 | -1 | -1 | 52 | -1 | 70 | -1 | 16 | -1 | -1 | 50 | 53 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | alreadystoredcnt<0> | NULL | 1 | waitnow<0> | NULL | 2 | uartskip<0> | NULL | 11 | HZIN | 21 | 12 | storecounta<18> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 13 | XSTALIN | 20 | 14 | clkcounta<12> | NULL | 19 | clkcounta<3> | NULL | 21 | storecounta<7> | NULL | 27 | storecounta<16> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 29 | storecounta<17> | NULL | 37 | storecounta<14> | NULL | 44 | clkcounta<11> | NULL | 46 | storecounta<6> | NULL | 48 | uartnow<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 51 | resetclk<0> | NULL | 53 | storecounta<15> | NULL + +FB_IMUX_INDEX | FOOBAR4_ | 36 | 37 | 38 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 101 | 66 | 103 | 32 | -1 | -1 | -1 | -1 | 31 | -1 | 5 | -1 | -1 | -1 | -1 | -1 | 68 | -1 | 67 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 54 | -1 | -1 | -1 | -1 | -1 | -1 | 33 | -1 | 70 | -1 | 16 | -1 | -1 | 50 | -1 | 71 + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.xml b/60hz_Divider/code/xilinx/cpld_countertest10/counta.xml new file mode 100644 index 0000000..adb7159 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.xml @@ -0,0 +1,3 @@ + + +counta.rpt/opt/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc9572xl.chpcounta.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'counta.ise'. diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta.xst b/60hz_Divider/code/xilinx/cpld_countertest10/counta.xst new file mode 100644 index 0000000..cdc44ea --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta.xst @@ -0,0 +1,29 @@ +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn counta.prj +-ifmt mixed +-ofn counta +-ofmt NGC +-p xc9500xl +-top counta +-opt_mode Speed +-opt_level 1 +-iuc NO +-keep_hierarchy Yes +-netlist_hierarchy As_Optimized +-rtlview Yes +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-verilog2001 YES +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-mux_extract Yes +-resource_sharing YES +-iobuf YES +-pld_mp YES +-pld_xp YES +-pld_ce YES +-wysiwyg NO +-equivalent_register_removal YES diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_build.xml b/60hz_Divider/code/xilinx/cpld_countertest10/counta_build.xml new file mode 100644 index 0000000..42afe0b --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_build.xml @@ -0,0 +1,219 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_envsettings.html b/60hz_Divider/code/xilinx/cpld_countertest10/counta_envsettings.html new file mode 100644 index 0000000..9b49d1c --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_envsettings.html @@ -0,0 +1,229 @@ +Xilinx System Settings Report + +
System Settings

+

+ + + + + + + + + + + + + + + + + + + + + + + +
Environment Settings
Environment Variablexstngdbuild
LD_LIBRARY_PATH/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin
PATH/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin:
/home/dev/bin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin:
/home/dev/bin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
XILINX/opt/Xilinx/14.7/ISE_DS/ISE//opt/Xilinx/14.7/ISE_DS/ISE/
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn counta.prj 
-ifmt mixedMIXED
-ofn counta 
-ofmt NGCNGC
-p xc9500xl 
-top counta 
-opt_modeOptimization GoalSpeedSPEED
-opt_levelOptimization Effort11
-iucUse synthesis Constraints FileNONO
-keep_hierarchyKeep HierarchyYesYES
-netlist_hierarchyNetlist HierarchyAs_Optimizedas_optimized
-rtlviewGenerate RTL SchematicYesNO
-bus_delimiterBus Delimiter<><>
-verilog2001Verilog 2001YESYES
-fsm_extract YESYES
-fsm_encoding AutoAUTO
-safe_implementation NoNO
-resource_sharing YESYES
-iobuf YESYES
-equivalent_register_removal YESYES
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Translation Property Settings
Switch NameProperty NameValueDefault Value
-intstyle iseNone
-dd _ngoNone
-p xc9572xl-VQ44-5None
-uc constraints.ucfNone
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Operating System Information
Operating System Informationxstngdbuild
CPU Architecture/SpeedIntel(R) Core(TM) Duo CPU T2400 @ 1.83GHz/1333.000 MHzIntel(R) Core(TM) Duo CPU T2400 @ 1.83GHz/1333.000 MHz
Hostfpgamachfpgamach
OS NameDevuanDevuan
OS ReleaseDevuan GNU/Linux asciiDevuan GNU/Linux ascii
+ \ No newline at end of file diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/applet.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/applet.js new file mode 100755 index 0000000..d255dd2 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/applet.js @@ -0,0 +1,128 @@ + var tmpStr = ""; + var waitWin; + + function openWait() { + waitWin = window.open("wait.htm", "wait", + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=no,"+ + "resizable=no,width=300,height=50" ); + } + + function closeWait() { if (waitWin) waitWin.close(); } + + function setMsg(msg){ + + parent.leftnav.setAppletMsg( msg ); + // now send it reload forces + // call to applet paint + location.reload(); + } + + function getMsg(){ + + return( parent.leftnav.getAppletMsg() ); + } + + function resetMsg(){ parent.leftnav.setAppletMsg(""); } + + function printAppletPkg() { + if( isNS() ){ + setMsg("cmd printPkg "); + } + else{ + document.ChipViewerApplet.PrintPkg(); + } + } + + function showAppletGraphicMC(mc) { + if( isNS() ){ + setMsg("cmd showMac " + mc); + } + else{ + document.ChipViewerApplet.ShowMac(mc); + } + } + + function ShowMC() { showAppletGraphicMC(tmpStr); } + + function showAppletGraphicFB(fb) { + if( isNS() ){ + setMsg("cmd showFB " + fb); + } + else{ + document.ChipViewerApplet.ShowFB(fb); + } + } + + function showAppletGraphicPin(pin) { + if( isNS() ){ + setMsg("cmd showPin " + pin); + } + else{ + document.ChipViewerApplet.ShowPin(pin); + } + } + + function ShowFB() { showAppletGraphicFB(tmpStr); } + + function isNS() { + return ((navigator.appName.indexOf("Netscape") >= 0) && (parseFloat(navigator.appVersion) < 5) ) ? true : false; + } + + function isIE(){ + var agt=navigator.userAgent.toLowerCase(); + return( ( (agt.indexOf("msie") != -1) && (agt.indexOf("opera") == -1) ) ? true: false ); + } + + function waitUntilOK() { + if (!waitWin) openWait(); + if (isNS()) { + if (document.ChipViewerApplet.isActive()) closeWait(); + else settimeout("waitUntilOK()",100); + } + else { + if (document.ChipViewerApplet.readyState == 4) closeWait(); + else settimeout("waitUntilOK()",100); + } + } + + + // check that the applet if file has been generated + // this can only be done if the applets been loaded. + function fileExists(fileName){ + + if( document.ChipViewerApplet.readyState != 4 ) { + window.alert("Navigation disabled until the applet is loaded." ); + } + if( isIE() ){ + if( parent.leftnav.getAppletPermission() == 1 ){ + if( document.ChipViewerApplet.TestFileExists(fileName) == 1 ){ + window.alert("file exist tests true" ); + return( true ); + } + } + else{ + window.alert("file exist returns true no permission" ); + return( true ); + } + } + else{ + return( true ); + } + window.alert("file exist returns false" ); + return( false ); + } + + + + function setPermission(){ + + if( isIE() ){ + if( document.ChipViewerApplet.granted() ){ + parent.leftnav.setAppletPermission(); + } + } + else{ + return( true ); + } + } diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/appletref.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/appletref.htm new file mode 100755 index 0000000..2182301 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/appletref.htm @@ -0,0 +1,14 @@ + + + + + + + + + + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ascii.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ascii.htm new file mode 100644 index 0000000..764c140 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ascii.htm @@ -0,0 +1,820 @@ + +
+ 
+cpldfit:  version P.20131013                        Xilinx Inc.
+                                  Fitter Report
+Design Name: counta                              Date:  8- 4-2020,  0:40AM
+Device Used: XC9572XL-5-VQ44
+Fitting Status: Successful
+
+*************************  Mapped Resource Summary  **************************
+
+Macrocells     Product Terms    Function Block   Registers      Pins           
+Used/Tot       Used/Tot         Inps Used/Tot    Used/Tot       Used/Tot       
+42 /72  ( 58%) 227 /360  ( 63%) 86 /216 ( 40%)   42 /72  ( 58%) 11 /34  ( 32%)
+
+** Function Block Resources **
+
+Function    Mcells      FB Inps     Pterms      IO          
+Block       Used/Tot    Used/Tot    Used/Tot    Used/Tot    
+FB1           7/18       25/54       47/90       7/ 9
+FB2          11/18       22/54       57/90       0/ 9
+FB3          18/18*      22/54       84/90       2/ 9
+FB4           6/18       17/54       39/90       2/ 7
+             -----       -----       -----      -----    
+             42/72       86/216     227/360     11/34 
+
+* - Resource is exhausted
+
+** Global Control Resources **
+
+Global clock net(s) unused.
+Global output enable net(s) unused.
+Global set/reset net(s) unused.
+
+** Pin Resources **
+
+Signal Type    Required     Mapped  |  Pin Type            Used    Total 
+------------------------------------|------------------------------------
+Input         :    2           2    |  I/O              :     8      28
+Output        :    9           9    |  GCK/IO           :     3       3
+Bidirectional :    0           0    |  GTS/IO           :     0       2
+GCK           :    0           0    |  GSR/IO           :     0       1
+GTS           :    0           0    |
+GSR           :    0           0    |
+                 ----        ----
+        Total     11          11
+
+** Power Data **
+
+There are 42 macrocells in high performance mode (MCHP).
+There are 0 macrocells in low power mode (MCLP).
+End of Mapped Resource Summary
+**************************  Errors and Warnings  ***************************
+
+WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will
+   use the default filename of 'counta.ise'.
+*************************  Summary of Mapped Logic  ************************
+
+** 9 Outputs **
+
+Signal               Total Total Loc     Pin  Pin     Pin     Pwr  Slew Reg Init
+Name                 Pts   Inps          No.  Type    Use     Mode Rate State
+LED<0>               7     10    FB1_6   41   I/O     O       STD  FAST RESET
+LED<1>               7     10    FB1_8   42   I/O     O       STD  FAST RESET
+LED<2>               7     10    FB1_9   43   GCK/I/O O       STD  FAST RESET
+LED<3>               7     10    FB1_11  44   GCK/I/O O       STD  FAST RESET
+LED<4>               7     10    FB1_14  1    GCK/I/O O       STD  FAST RESET
+LED<5>               7     10    FB1_15  2    I/O     O       STD  FAST RESET
+LED<6>               5     12    FB1_17  3    I/O     O       STD  FAST RESET
+LED<7>               4     12    FB3_2   5    I/O     O       STD  FAST RESET
+TX                   6     9     FB3_5   6    I/O     O       STD  FAST RESET
+
+** 33 Buried Nodes **
+
+Signal               Total Total Loc     Pwr  Reg Init
+Name                 Pts   Inps          Mode State
+clkcounta<9>         5     14    FB2_8   STD  RESET
+clkcounta<8>         5     13    FB2_9   STD  RESET
+clkcounta<7>         5     12    FB2_10  STD  RESET
+clkcounta<6>         5     11    FB2_11  STD  RESET
+clkcounta<5>         5     10    FB2_12  STD  RESET
+clkcounta<4>         5     9     FB2_13  STD  RESET
+clkcounta<3>         5     8     FB2_14  STD  RESET
+clkcounta<12>        5     17    FB2_15  STD  RESET
+clkcounta<11>        5     16    FB2_16  STD  RESET
+clkcounta<10>        5     15    FB2_17  STD  RESET
+storecounta<13>      7     10    FB2_18  STD  RESET
+alreadystoredcnt<0>  3     7     FB3_1   STD  RESET
+uartskip<0>          3     7     FB3_3   STD  RESET
+clkcounta<0>         3     5     FB3_4   STD  RESET
+uartctr<4>           4     12    FB3_6   STD  RESET
+uartctr<3>           4     12    FB3_7   STD  RESET
+uartctr<2>           4     12    FB3_8   STD  RESET
+uartctr<1>           4     12    FB3_9   STD  RESET
+uartctr<0>           4     12    FB3_10  STD  RESET
+clkcounta<2>         5     7     FB3_11  STD  RESET
+clkcounta<1>         5     6     FB3_12  STD  RESET
+storecounta<2>       6     9     FB3_13  STD  RESET
+storecounta<1>       6     9     FB3_14  STD  RESET
+resetclk<0>          2     3     FB3_15  STD  RESET
+storecounta<5>       7     10    FB3_16  STD  RESET
+storecounta<4>       7     10    FB3_17  STD  RESET
+storecounta<3>       7     10    FB3_18  STD  RESET
+storecounta<14>      7     10    FB4_1   STD  RESET
+storecounta<18>      6     8     FB4_13  STD  RESET
+storecounta<17>      6     9     FB4_14  STD  RESET
+storecounta<16>      6     9     FB4_15  STD  RESET
+storecounta<6>       7     10    FB4_17  STD  RESET
+storecounta<15>      7     10    FB4_18  STD  RESET
+
+** 2 Inputs **
+
+Signal               Loc     Pin  Pin     Pin     
+Name                         No.  Type    Use     
+XSTALIN              FB4_5   20   I/O     I
+HZIN                 FB4_8   21   I/O     I
+
+Legend:
+Pin No. - ~ - User Assigned
+**************************  Function Block Details  ************************
+Legend:
+Total Pt     - Total product terms used by the macrocell signal
+Imp Pt       - Product terms imported from other macrocells
+Exp Pt       - Product terms exported to other macrocells
+               in direction shown
+Unused Pt    - Unused local product terms remaining in macrocell
+Loc          - Location where logic was mapped in device
+Pin Type/Use - I  - Input             GCK - Global Clock
+               O  - Output            GTS - Global Output Enable
+              (b) - Buried macrocell  GSR - Global Set/Reset
+X            - Signal used as input to the macrocell logic.
+Pin No.      - ~  - User Assigned
+*********************************** FB1  ***********************************
+Number of function block inputs used/remaining:               25/29
+Number of signals used by logic mapping into function block:  25
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0     0   5     FB1_1         (b)     
+(unused)              0       0     0   5     FB1_2   39    I/O     
+(unused)              0       0     0   5     FB1_3         (b)     
+(unused)              0       0     0   5     FB1_4         (b)     
+(unused)              0       0   \/1   4     FB1_5   40    I/O     (b)
+LED<0>                7       2<-   0   0     FB1_6   41    I/O     O
+(unused)              0       0   /\1   4     FB1_7         (b)     (b)
+LED<1>                7       2<-   0   0     FB1_8   42    I/O     O
+LED<2>                7       4<- /\2   0     FB1_9   43    GCK/I/O O
+(unused)              0       0   /\4   1     FB1_10        (b)     (b)
+LED<3>                7       2<-   0   0     FB1_11  44    GCK/I/O O
+(unused)              0       0   /\2   3     FB1_12        (b)     (b)
+(unused)              0       0   \/2   3     FB1_13        (b)     (b)
+LED<4>                7       2<-   0   0     FB1_14  1     GCK/I/O O
+LED<5>                7       2<-   0   0     FB1_15  2     I/O     O
+(unused)              0       0   /\2   3     FB1_16        (b)     (b)
+LED<6>                5       0     0   0     FB1_17  3     I/O     O
+(unused)              0       0     0   5     FB1_18        (b)     
+
+Signals Used by Logic in Function Block
+  1: HZIN              10: XSTALIN              18: resetclk<0> 
+  2: LED<0>            11: alreadystoredcnt<0>  19: storecounta<13> 
+  3: LED<1>            12: clkcounta<4>         20: uartctr<0> 
+  4: LED<2>            13: clkcounta<5>         21: uartctr<1> 
+  5: LED<3>            14: clkcounta<6>         22: uartctr<2> 
+  6: LED<4>            15: clkcounta<7>         23: uartctr<3> 
+  7: LED<5>            16: clkcounta<8>         24: uartctr<4> 
+  8: LED<6>            17: clkcounta<9>         25: uartskip<0> 
+  9: LED<7>           
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+LED<0>               XXX....XXXXX.....X......X............... 10
+LED<1>               X.XX...XXXX.X....X......X............... 10
+LED<2>               X..XX..XXXX..X...X......X............... 10
+LED<3>               X...XX.XXXX...X..X......X............... 10
+LED<4>               X....XXXXXX....X.X......X............... 10
+LED<5>               X.....XXXXX.....XXX.....X............... 10
+LED<6>               X......XXXX......X.XXXXXX............... 12
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB2  ***********************************
+Number of function block inputs used/remaining:               22/32
+Number of signals used by logic mapping into function block:  22
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0   /\2   3     FB2_1         (b)     (b)
+(unused)              0       0     0   5     FB2_2   29    I/O     
+(unused)              0       0     0   5     FB2_3         (b)     
+(unused)              0       0     0   5     FB2_4         (b)     
+(unused)              0       0     0   5     FB2_5   30    I/O     
+(unused)              0       0     0   5     FB2_6   31    I/O     
+(unused)              0       0     0   5     FB2_7         (b)     
+clkcounta<9>          5       0     0   0     FB2_8   32    I/O     (b)
+clkcounta<8>          5       0     0   0     FB2_9   33    GSR/I/O (b)
+clkcounta<7>          5       0     0   0     FB2_10        (b)     (b)
+clkcounta<6>          5       0     0   0     FB2_11  34    GTS/I/O (b)
+clkcounta<5>          5       0     0   0     FB2_12        (b)     (b)
+clkcounta<4>          5       0     0   0     FB2_13        (b)     (b)
+clkcounta<3>          5       0     0   0     FB2_14  36    GTS/I/O (b)
+clkcounta<12>         5       0     0   0     FB2_15  37    I/O     (b)
+clkcounta<11>         5       0     0   0     FB2_16        (b)     (b)
+clkcounta<10>         5       0     0   0     FB2_17  38    I/O     (b)
+storecounta<13>       7       2<-   0   0     FB2_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: HZIN                  9: clkcounta<12>     16: clkcounta<7> 
+  2: LED<6>               10: clkcounta<1>      17: clkcounta<8> 
+  3: LED<7>               11: clkcounta<2>      18: clkcounta<9> 
+  4: XSTALIN              12: clkcounta<3>      19: resetclk<0> 
+  5: alreadystoredcnt<0>  13: clkcounta<4>      20: storecounta<13> 
+  6: clkcounta<0>         14: clkcounta<5>      21: storecounta<14> 
+  7: clkcounta<10>        15: clkcounta<6>      22: uartskip<0> 
+  8: clkcounta<11>       
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+clkcounta<9>         X..XXX...XXXXXXXXXX..................... 14
+clkcounta<8>         X..XXX...XXXXXXXX.X..................... 13
+clkcounta<7>         X..XXX...XXXXXXX..X..................... 12
+clkcounta<6>         X..XXX...XXXXXX...X..................... 11
+clkcounta<5>         X..XXX...XXXXX....X..................... 10
+clkcounta<4>         X..XXX...XXXX.....X..................... 9
+clkcounta<3>         X..XXX...XXX......X..................... 8
+clkcounta<12>        X..XXXXXXXXXXXXXXXX..................... 17
+clkcounta<11>        X..XXXXX.XXXXXXXXXX..................... 16
+clkcounta<10>        X..XXXX..XXXXXXXXXX..................... 15
+storecounta<13>      XXXXX.X...........XXXX.................. 10
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB3  ***********************************
+Number of function block inputs used/remaining:               22/32
+Number of signals used by logic mapping into function block:  22
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+alreadystoredcnt<0>   3       1<- /\3   0     FB3_1         (b)     (b)
+LED<7>                4       0   /\1   0     FB3_2   5     I/O     O
+uartskip<0>           3       0     0   2     FB3_3         (b)     (b)
+clkcounta<0>          3       0   \/1   1     FB3_4         (b)     (b)
+TX                    6       1<-   0   0     FB3_5   6     I/O     O
+uartctr<4>            4       0     0   1     FB3_6         (b)     (b)
+uartctr<3>            4       0     0   1     FB3_7         (b)     (b)
+uartctr<2>            4       0     0   1     FB3_8   7     I/O     (b)
+uartctr<1>            4       0   \/1   0     FB3_9   8     I/O     (b)
+uartctr<0>            4       1<- \/2   0     FB3_10        (b)     (b)
+clkcounta<2>          5       2<- \/2   0     FB3_11  12    I/O     (b)
+clkcounta<1>          5       2<- \/2   0     FB3_12        (b)     (b)
+storecounta<2>        6       2<- \/1   0     FB3_13        (b)     (b)
+storecounta<1>        6       1<-   0   0     FB3_14  13    I/O     (b)
+resetclk<0>           2       0   \/3   0     FB3_15  14    I/O     (b)
+storecounta<5>        7       3<- \/1   0     FB3_16  18    I/O     (b)
+storecounta<4>        7       2<-   0   0     FB3_17  16    I/O     (b)
+storecounta<3>        7       3<- /\1   0     FB3_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: HZIN                  9: clkcounta<2>      16: storecounta<6> 
+  2: LED<6>               10: resetclk<0>       17: uartctr<0> 
+  3: LED<7>               11: storecounta<1>    18: uartctr<1> 
+  4: TX                   12: storecounta<2>    19: uartctr<2> 
+  5: XSTALIN              13: storecounta<3>    20: uartctr<3> 
+  6: alreadystoredcnt<0>  14: storecounta<4>    21: uartctr<4> 
+  7: clkcounta<0>         15: storecounta<5>    22: uartskip<0> 
+  8: clkcounta<1>        
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+alreadystoredcnt<0>  XXX.XX...X...........X.................. 7
+LED<7>               XXX.XX...X......XXXXXX.................. 12
+uartskip<0>          XXX.XX...X...........X.................. 7
+clkcounta<0>         X...XXX..X.............................. 5
+TX                   XXXXXX...XX..........X.................. 9
+uartctr<4>           XXX.XX...X......XXXXXX.................. 12
+uartctr<3>           XXX.XX...X......XXXXXX.................. 12
+uartctr<2>           XXX.XX...X......XXXXXX.................. 12
+uartctr<1>           XXX.XX...X......XXXXXX.................. 12
+uartctr<0>           XXX.XX...X......XXXXXX.................. 12
+clkcounta<2>         X...XXXXXX.............................. 7
+clkcounta<1>         X...XXXX.X.............................. 6
+storecounta<2>       XXX.XX...X.XX........X.................. 9
+storecounta<1>       XXX.XX...XXX.........X.................. 9
+resetclk<0>          X...XX.................................. 3
+storecounta<5>       XXX.XX..XX....XX.....X.................. 10
+storecounta<4>       XXX.XX.X.X...XX......X.................. 10
+storecounta<3>       XXX.XXX..X..XX.......X.................. 10
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB4  ***********************************
+Number of function block inputs used/remaining:               17/37
+Number of signals used by logic mapping into function block:  17
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+storecounta<14>       7       4<- /\2   0     FB4_1         (b)     (b)
+(unused)              0       0   /\4   1     FB4_2   19    I/O     (b)
+(unused)              0       0     0   5     FB4_3         (b)     
+(unused)              0       0     0   5     FB4_4         (b)     
+(unused)              0       0     0   5     FB4_5   20    I/O     I
+(unused)              0       0     0   5     FB4_6         (b)     
+(unused)              0       0     0   5     FB4_7         (b)     
+(unused)              0       0     0   5     FB4_8   21    I/O     I
+(unused)              0       0     0   5     FB4_9         (b)     
+(unused)              0       0     0   5     FB4_10        (b)     
+(unused)              0       0     0   5     FB4_11  22    I/O     
+(unused)              0       0   \/3   2     FB4_12        (b)     (b)
+storecounta<18>       6       3<- \/2   0     FB4_13        (b)     (b)
+storecounta<17>       6       2<- \/1   0     FB4_14  23    I/O     (b)
+storecounta<16>       6       1<-   0   0     FB4_15  27    I/O     (b)
+(unused)              0       0   \/2   3     FB4_16        (b)     (b)
+storecounta<6>        7       2<-   0   0     FB4_17  28    I/O     (b)
+storecounta<15>       7       2<-   0   0     FB4_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: HZIN                  7: clkcounta<11>     13: storecounta<16> 
+  2: LED<0>                8: clkcounta<12>     14: storecounta<17> 
+  3: LED<6>                9: clkcounta<3>      15: storecounta<18> 
+  4: LED<7>               10: resetclk<0>       16: storecounta<6> 
+  5: XSTALIN              11: storecounta<14>   17: uartskip<0> 
+  6: alreadystoredcnt<0>  12: storecounta<15>  
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+storecounta<14>      X.XXXXX..XXX....X....................... 10
+storecounta<18>      X.XXXX...X....X.X....................... 8
+storecounta<17>      X.XXXX...X...XX.X....................... 9
+storecounta<16>      X.XXXX...X..XX..X....................... 9
+storecounta<6>       XXXXXX..XX.....XX....................... 10
+storecounta<15>      X.XXXX.X.X.XX...X....................... 10
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*******************************  Equations  ********************************
+
+********** Mapped Logic **********
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+FDCPE_LED0: FDCPE port map (LED(0),LED_D(0),XSTALIN,'0','0');
+LED_D(0) <= ((NOT LED(6) AND LED(0) AND NOT HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND LED(1) AND alreadystoredcnt(0))
+	OR (LED(6) AND LED(1) AND NOT HZIN)
+	OR (NOT LED(6) AND LED(0) AND alreadystoredcnt(0))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(4)));
+
+FDCPE_LED1: FDCPE port map (LED(1),LED_D(1),XSTALIN,'0','0');
+LED_D(1) <= ((NOT LED(6) AND LED(1) AND NOT HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND LED(2) AND alreadystoredcnt(0))
+	OR (LED(6) AND LED(2) AND NOT HZIN)
+	OR (NOT LED(6) AND LED(1) AND alreadystoredcnt(0))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(5)));
+
+FDCPE_LED2: FDCPE port map (LED(2),LED_D(2),XSTALIN,'0','0');
+LED_D(2) <= ((NOT LED(6) AND LED(2) AND alreadystoredcnt(0))
+	OR (NOT LED(6) AND LED(2) AND NOT HZIN)
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(6))
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND LED(3) AND alreadystoredcnt(0))
+	OR (LED(6) AND LED(3) AND NOT HZIN));
+
+FDCPE_LED3: FDCPE port map (LED(3),LED_D(3),XSTALIN,'0','0');
+LED_D(3) <= ((NOT LED(6) AND LED(3) AND NOT HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND LED(4) AND alreadystoredcnt(0))
+	OR (LED(6) AND LED(4) AND NOT HZIN)
+	OR (NOT LED(6) AND LED(3) AND alreadystoredcnt(0))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(7)));
+
+FDCPE_LED4: FDCPE port map (LED(4),LED_D(4),XSTALIN,'0','0');
+LED_D(4) <= ((NOT LED(6) AND LED(4) AND NOT HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND LED(5) AND alreadystoredcnt(0))
+	OR (LED(6) AND LED(5) AND NOT HZIN)
+	OR (NOT LED(6) AND LED(4) AND alreadystoredcnt(0))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(8)));
+
+FDCPE_LED5: FDCPE port map (LED(5),LED_D(5),XSTALIN,'0','0');
+LED_D(5) <= ((NOT LED(6) AND LED(5) AND NOT HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND alreadystoredcnt(0) AND storecounta(13))
+	OR (LED(6) AND storecounta(13) AND NOT HZIN)
+	OR (NOT LED(6) AND LED(5) AND alreadystoredcnt(0))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(9)));
+
+FTCPE_LED6: FTCPE port map (LED(6),LED_T(6),XSTALIN,'0','0');
+LED_T(6) <= ((NOT LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND NOT uartskip(0))
+	OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND 
+	uartctr(3) AND uartctr(4))
+	OR (LED(6) AND alreadystoredcnt(0) AND NOT resetclk(0) AND 
+	uartskip(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND 
+	uartctr(3) AND uartctr(4))
+	OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND 
+	uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND 
+	uartctr(4) AND NOT HZIN));
+
+FTCPE_LED7: FTCPE port map (LED(7),LED_T(7),XSTALIN,'0','0');
+LED_T(7) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND 
+	uartctr(2) AND uartctr(3) AND uartctr(4))
+	OR (NOT LED(7) AND LED(6) AND NOT alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND 
+	uartctr(3) AND uartctr(4) AND NOT HZIN));
+
+FDCPE_TX: FDCPE port map (TX,TX_D,XSTALIN,'0','0');
+TX_D <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND NOT resetclk(0) AND storecounta(1))
+	OR (NOT LED(6) AND NOT resetclk(0) AND TX)
+	OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND 
+	HZIN)
+	OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND HZIN AND TX));
+
+FDCPE_alreadystoredcnt0: FDCPE port map (alreadystoredcnt(0),alreadystoredcnt_D(0),XSTALIN,'0','0');
+alreadystoredcnt_D(0) <= ((LED(7) AND NOT LED(6) AND NOT resetclk(0) AND uartskip(0) AND 
+	NOT HZIN)
+	OR (NOT alreadystoredcnt(0) AND NOT HZIN));
+
+FDCPE_clkcounta0: FDCPE port map (clkcounta(0),clkcounta_D(0),XSTALIN,'0','0');
+clkcounta_D(0) <= ((NOT resetclk(0) AND NOT clkcounta(0))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0)));
+
+FDCPE_clkcounta1: FDCPE port map (clkcounta(1),clkcounta_D(1),XSTALIN,'0','0');
+clkcounta_D(1) <= ((NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	NOT clkcounta(1))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0) AND 
+	clkcounta(1))
+	OR (NOT resetclk(0) AND clkcounta(0) AND NOT clkcounta(1))
+	OR (NOT resetclk(0) AND NOT clkcounta(0) AND clkcounta(1)));
+
+FTCPE_clkcounta2: FTCPE port map (clkcounta(2),clkcounta_T(2),XSTALIN,'0','0');
+clkcounta_T(2) <= ((NOT resetclk(0) AND clkcounta(0) AND clkcounta(1))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	clkcounta(1))
+	OR (alreadystoredcnt(0) AND resetclk(0) AND clkcounta(2))
+	OR (resetclk(0) AND NOT HZIN AND clkcounta(2)));
+
+FTCPE_clkcounta3: FTCPE port map (clkcounta(3),clkcounta_T(3),XSTALIN,'0','0');
+clkcounta_T(3) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(3))
+	OR (resetclk(0) AND NOT HZIN AND clkcounta(3))
+	OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND 
+	clkcounta(2))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	clkcounta(1) AND clkcounta(2)));
+
+FTCPE_clkcounta4: FTCPE port map (clkcounta(4),clkcounta_T(4),XSTALIN,'0','0');
+clkcounta_T(4) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(4))
+	OR (resetclk(0) AND NOT HZIN AND clkcounta(4))
+	OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND 
+	clkcounta(2) AND clkcounta(3))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	clkcounta(1) AND clkcounta(2) AND clkcounta(3)));
+
+FTCPE_clkcounta5: FTCPE port map (clkcounta(5),clkcounta_T(5),XSTALIN,'0','0');
+clkcounta_T(5) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(5))
+	OR (resetclk(0) AND NOT HZIN AND clkcounta(5))
+	OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND 
+	clkcounta(2) AND clkcounta(3) AND clkcounta(4))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4)));
+
+FTCPE_clkcounta6: FTCPE port map (clkcounta(6),clkcounta_T(6),XSTALIN,'0','0');
+clkcounta_T(6) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(6))
+	OR (resetclk(0) AND NOT HZIN AND clkcounta(6))
+	OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND 
+	clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND 
+	clkcounta(5)));
+
+FTCPE_clkcounta7: FTCPE port map (clkcounta(7),clkcounta_T(7),XSTALIN,'0','0');
+clkcounta_T(7) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(7))
+	OR (resetclk(0) AND NOT HZIN AND clkcounta(7))
+	OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND 
+	clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND 
+	clkcounta(6))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND 
+	clkcounta(5) AND clkcounta(6)));
+
+FTCPE_clkcounta8: FTCPE port map (clkcounta(8),clkcounta_T(8),XSTALIN,'0','0');
+clkcounta_T(8) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(8))
+	OR (resetclk(0) AND NOT HZIN AND clkcounta(8))
+	OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND 
+	clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND 
+	clkcounta(6) AND clkcounta(7))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND 
+	clkcounta(5) AND clkcounta(6) AND clkcounta(7)));
+
+FTCPE_clkcounta9: FTCPE port map (clkcounta(9),clkcounta_T(9),XSTALIN,'0','0');
+clkcounta_T(9) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(9))
+	OR (resetclk(0) AND NOT HZIN AND clkcounta(9))
+	OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND 
+	clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND 
+	clkcounta(6) AND clkcounta(7) AND clkcounta(8))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND 
+	clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8)));
+
+FTCPE_clkcounta10: FTCPE port map (clkcounta(10),clkcounta_T(10),XSTALIN,'0','0');
+clkcounta_T(10) <= ((alreadystoredcnt(0) AND resetclk(0) AND 
+	clkcounta(10))
+	OR (resetclk(0) AND NOT HZIN AND clkcounta(10))
+	OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND 
+	clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND 
+	clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND clkcounta(9))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND 
+	clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND 
+	clkcounta(9)));
+
+FTCPE_clkcounta11: FTCPE port map (clkcounta(11),clkcounta_T(11),XSTALIN,'0','0');
+clkcounta_T(11) <= ((alreadystoredcnt(0) AND resetclk(0) AND 
+	clkcounta(11))
+	OR (resetclk(0) AND NOT HZIN AND clkcounta(11))
+	OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND 
+	clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND 
+	clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND 
+	clkcounta(9))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	clkcounta(10) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND 
+	clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND 
+	clkcounta(8) AND clkcounta(9)));
+
+FTCPE_clkcounta12: FTCPE port map (clkcounta(12),clkcounta_T(12),XSTALIN,'0','0');
+clkcounta_T(12) <= ((alreadystoredcnt(0) AND resetclk(0) AND 
+	clkcounta(12))
+	OR (resetclk(0) AND NOT HZIN AND clkcounta(12))
+	OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND 
+	clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND 
+	clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND 
+	clkcounta(8) AND clkcounta(9))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND 
+	clkcounta(10) AND clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND 
+	clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND 
+	clkcounta(7) AND clkcounta(8) AND clkcounta(9)));
+
+FDCPE_resetclk0: FDCPE port map (resetclk(0),resetclk_D(0),XSTALIN,'0','0');
+resetclk_D(0) <= (NOT alreadystoredcnt(0) AND HZIN);
+
+FDCPE_storecounta1: FDCPE port map (storecounta(1),storecounta_D(1),XSTALIN,'0','0');
+storecounta_D(1) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND NOT resetclk(0) AND storecounta(2))
+	OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(1))
+	OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(2) AND 
+	HZIN)
+	OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND 
+	HZIN));
+
+FDCPE_storecounta2: FDCPE port map (storecounta(2),storecounta_D(2),XSTALIN,'0','0');
+storecounta_D(2) <= ((NOT LED(6) AND storecounta(2))
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND storecounta(3))
+	OR (alreadystoredcnt(0) AND resetclk(0))
+	OR (resetclk(0) AND NOT HZIN));
+
+FDCPE_storecounta3: FDCPE port map (storecounta(3),storecounta_D(3),XSTALIN,'0','0');
+storecounta_D(3) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(3))
+	OR (NOT LED(6) AND storecounta(3) AND NOT HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND alreadystoredcnt(0) AND storecounta(4))
+	OR (LED(6) AND storecounta(4) AND NOT HZIN)
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0)));
+
+FDCPE_storecounta4: FDCPE port map (storecounta(4),storecounta_D(4),XSTALIN,'0','0');
+storecounta_D(4) <= ((NOT LED(6) AND storecounta(4) AND NOT HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND alreadystoredcnt(0) AND storecounta(5))
+	OR (LED(6) AND storecounta(5) AND NOT HZIN)
+	OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(4))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(1)));
+
+FDCPE_storecounta5: FDCPE port map (storecounta(5),storecounta_D(5),XSTALIN,'0','0');
+storecounta_D(5) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(5))
+	OR (NOT LED(6) AND storecounta(5) AND NOT HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND alreadystoredcnt(0) AND storecounta(6))
+	OR (LED(6) AND storecounta(6) AND NOT HZIN)
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(2)));
+
+FDCPE_storecounta6: FDCPE port map (storecounta(6),storecounta_D(6),XSTALIN,'0','0');
+storecounta_D(6) <= ((NOT LED(6) AND storecounta(6) AND NOT HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND LED(0) AND alreadystoredcnt(0))
+	OR (LED(6) AND LED(0) AND NOT HZIN)
+	OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(6))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(3)));
+
+FDCPE_storecounta13: FDCPE port map (storecounta(13),storecounta_D(13),XSTALIN,'0','0');
+storecounta_D(13) <= ((NOT LED(6) AND storecounta(13) AND NOT HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND alreadystoredcnt(0) AND storecounta(14))
+	OR (LED(6) AND storecounta(14) AND NOT HZIN)
+	OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(13))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(10)));
+
+FDCPE_storecounta14: FDCPE port map (storecounta(14),storecounta_D(14),XSTALIN,'0','0');
+storecounta_D(14) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(14))
+	OR (NOT LED(6) AND storecounta(14) AND NOT HZIN)
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(11))
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND alreadystoredcnt(0) AND storecounta(15))
+	OR (LED(6) AND storecounta(15) AND NOT HZIN));
+
+FDCPE_storecounta15: FDCPE port map (storecounta(15),storecounta_D(15),XSTALIN,'0','0');
+storecounta_D(15) <= ((NOT LED(6) AND storecounta(15) AND NOT HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND alreadystoredcnt(0) AND storecounta(16))
+	OR (LED(6) AND storecounta(16) AND NOT HZIN)
+	OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(15))
+	OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(12)));
+
+FDCPE_storecounta16: FDCPE port map (storecounta(16),storecounta_D(16),XSTALIN,'0','0');
+storecounta_D(16) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND storecounta(17))
+	OR (NOT LED(6) AND storecounta(16))
+	OR (alreadystoredcnt(0) AND resetclk(0))
+	OR (resetclk(0) AND NOT HZIN));
+
+FDCPE_storecounta17: FDCPE port map (storecounta(17),storecounta_D(17),XSTALIN,'0','0');
+storecounta_D(17) <= ((NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(17) AND 
+	HZIN)
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND NOT resetclk(0) AND storecounta(18))
+	OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(17))
+	OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(18) AND 
+	HZIN));
+
+FDCPE_storecounta18: FDCPE port map (storecounta(18),storecounta_D(18),XSTALIN,'0','0');
+storecounta_D(18) <= ((LED(6) AND NOT alreadystoredcnt(0) AND HZIN)
+	OR (NOT alreadystoredcnt(0) AND storecounta(18) AND HZIN)
+	OR (LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND 
+	uartskip(0) AND NOT HZIN)
+	OR (LED(6) AND NOT resetclk(0))
+	OR (NOT resetclk(0) AND storecounta(18)));
+
+FTCPE_uartctr0: FTCPE port map (uartctr(0),uartctr_T(0),XSTALIN,'0','0');
+uartctr_T(0) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND 
+	uartctr(3) AND uartctr(4))
+	OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0))
+	OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND 
+	uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND 
+	uartctr(4) AND NOT HZIN));
+
+FTCPE_uartctr1: FTCPE port map (uartctr(1),uartctr_T(1),XSTALIN,'0','0');
+uartctr_T(1) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND uartctr(0))
+	OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND 
+	uartctr(3) AND uartctr(4))
+	OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND 
+	uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND 
+	uartctr(4) AND NOT HZIN));
+
+FTCPE_uartctr2: FTCPE port map (uartctr(2),uartctr_T(2),XSTALIN,'0','0');
+uartctr_T(2) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1))
+	OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND 
+	uartctr(3) AND uartctr(4))
+	OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND 
+	uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND 
+	uartctr(4) AND NOT HZIN));
+
+FTCPE_uartctr3: FTCPE port map (uartctr(3),uartctr_T(3),XSTALIN,'0','0');
+uartctr_T(3) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND 
+	uartctr(2))
+	OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND 
+	uartctr(3) AND uartctr(4))
+	OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND 
+	uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND 
+	uartctr(4) AND NOT HZIN));
+
+FTCPE_uartctr4: FTCPE port map (uartctr(4),uartctr_T(4),XSTALIN,'0','0');
+uartctr_T(4) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND 
+	uartctr(3) AND uartctr(4))
+	OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND 
+	uartctr(2) AND uartctr(3))
+	OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND 
+	uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND 
+	uartctr(4) AND NOT HZIN));
+
+FTCPE_uartskip0: FTCPE port map (uartskip(0),uartskip_T(0),XSTALIN,'0','0');
+uartskip_T(0) <= ((NOT LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND 
+	NOT uartskip(0))
+	OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND 
+	NOT resetclk(0) AND uartskip(0) AND NOT HZIN));
+
+Register Legend:
+ FDCPE (Q,D,C,CLR,PRE,CE); 
+ FTCPE (Q,D,C,CLR,PRE,CE); 
+ LDCP  (Q,D,G,CLR,PRE); 
+
+******************************  Device Pin Out *****************************
+
+Device : XC9572XL-5-VQ44
+
+
+   --------------------------------  
+  /44 43 42 41 40 39 38 37 36 35 34 \
+ | 1                             33 | 
+ | 2                             32 | 
+ | 3                             31 | 
+ | 4                             30 | 
+ | 5         XC9572XL-5-VQ44     29 | 
+ | 6                             28 | 
+ | 7                             27 | 
+ | 8                             26 | 
+ | 9                             25 | 
+ | 10                            24 | 
+ | 11                            23 | 
+ \ 12 13 14 15 16 17 18 19 20 21 22 /
+   --------------------------------  
+
+
+Pin Signal                         Pin Signal                        
+No. Name                           No. Name                          
+  1 LED<4>                           23 KPR                           
+  2 LED<5>                           24 TDO                           
+  3 LED<6>                           25 GND                           
+  4 GND                              26 VCC                           
+  5 LED<7>                           27 KPR                           
+  6 TX                               28 KPR                           
+  7 KPR                              29 KPR                           
+  8 KPR                              30 KPR                           
+  9 TDI                              31 KPR                           
+ 10 TMS                              32 KPR                           
+ 11 TCK                              33 KPR                           
+ 12 KPR                              34 KPR                           
+ 13 KPR                              35 VCC                           
+ 14 KPR                              36 KPR                           
+ 15 VCC                              37 KPR                           
+ 16 KPR                              38 KPR                           
+ 17 GND                              39 KPR                           
+ 18 KPR                              40 KPR                           
+ 19 KPR                              41 LED<0>                        
+ 20 XSTALIN                          42 LED<1>                        
+ 21 HZIN                             43 LED<2>                        
+ 22 KPR                              44 LED<3>                        
+
+
+Legend :  NC  = Not Connected, unbonded pin
+         PGND = Unused I/O configured as additional Ground pin
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         KPR  = Unused I/O with weak keeper (leave unconnected)
+         VCC  = Dedicated Power Pin
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+  PROHIBITED  = User reserved pin
+****************************  Compiler Options  ****************************
+
+Following is a list of all global compiler options used by the fitter run.
+
+Device(s) Specified                         : xc9572xl-5-VQ44
+Optimization Method                         : SPEED
+Multi-Level Logic Optimization              : ON
+Ignore Timing Specifications                : OFF
+Default Register Power Up Value             : LOW
+Keep User Location Constraints              : ON
+What-You-See-Is-What-You-Get                : OFF
+Exhaustive Fitting                          : OFF
+Keep Unused Inputs                          : OFF
+Slew Rate                                   : FAST
+Power Mode                                  : STD
+Ground on Unused IOs                        : OFF
+Set I/O Pin Termination                     : KEEPER
+Global Clock Optimization                   : ON
+Global Set/Reset Optimization               : ON
+Global Ouput Enable Optimization            : ON
+Input Limit                                 : 54
+Pterm Limit                                 : 25
+
+
+ + +
+ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ascii.tmp b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ascii.tmp new file mode 100644 index 0000000..9b23fb5 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ascii.tmp @@ -0,0 +1,4 @@ +
+ + +
diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/asciidoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/asciidoc.htm new file mode 100644 index 0000000..3455598 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/asciidoc.htm @@ -0,0 +1,71 @@ + + + + + + + + + + +Text Report + + + + + + + + + + + + + + + + + + + + + + + + +

Text Report

+ +

Selecting Text + Report from the left-hand frame will give you a printable text version + of the fitter report.  It + contains sections similar to those of the XML report (a summary section, + errors and warnings, mapped logic, function blocks, function block details, + a text-graphical display of the pinout, and a summary of compiler options), + but it is not easily navigable.  It + is best to use the text report only when you need to print out a hard + copy of the fitter results.

+ + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/backtop.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/backtop.jpg new file mode 100755 index 0000000..c537825 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/backtop.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/beginstraight.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/beginstraight.gif new file mode 100755 index 0000000..1a75177 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/beginstraight.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/blank.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/blank.gif new file mode 100755 index 0000000..1d11fa9 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/blank.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/blank.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/blank.htm new file mode 100644 index 0000000..18ecdcb --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/blank.htm @@ -0,0 +1 @@ + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/briefview.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/briefview.jpg new file mode 100755 index 0000000..3006953 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/briefview.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/check.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/check.htm new file mode 100755 index 0000000..ca27f1f --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/check.htm @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/checkNS4.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/checkNS4.htm new file mode 100755 index 0000000..aceeffd --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/checkNS4.htm @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/contact.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/contact.gif new file mode 100755 index 0000000..418b282 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/contact.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/coolrunnerII_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/coolrunnerII_logo.jpg new file mode 100755 index 0000000..1b57ddc Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/coolrunnerII_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/coolrunner_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/coolrunner_logo.jpg new file mode 100755 index 0000000..01e20a5 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/coolrunner_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/defeqns.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/defeqns.htm new file mode 100644 index 0000000..c0d2072 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/defeqns.htm @@ -0,0 +1,418 @@ + +

Equations

+ + +
+
+********** Mapped Logic ********** +
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+FDCPE_LED0: FDCPE port map (LED(0),LED_D(0),XSTALIN,'0','0'); +
     LED_D(0) <= ((NOT LED(6) AND LED(0) AND NOT HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND LED(1) AND alreadystoredcnt(0)) +
      OR (LED(6) AND LED(1) AND NOT HZIN) +
      OR (NOT LED(6) AND LED(0) AND alreadystoredcnt(0)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(4))); +
+FDCPE_LED1: FDCPE port map (LED(1),LED_D(1),XSTALIN,'0','0'); +
     LED_D(1) <= ((NOT LED(6) AND LED(1) AND NOT HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND LED(2) AND alreadystoredcnt(0)) +
      OR (LED(6) AND LED(2) AND NOT HZIN) +
      OR (NOT LED(6) AND LED(1) AND alreadystoredcnt(0)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(5))); +
+FDCPE_LED2: FDCPE port map (LED(2),LED_D(2),XSTALIN,'0','0'); +
     LED_D(2) <= ((NOT LED(6) AND LED(2) AND alreadystoredcnt(0)) +
      OR (NOT LED(6) AND LED(2) AND NOT HZIN) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(6)) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND LED(3) AND alreadystoredcnt(0)) +
      OR (LED(6) AND LED(3) AND NOT HZIN)); +
+FDCPE_LED3: FDCPE port map (LED(3),LED_D(3),XSTALIN,'0','0'); +
     LED_D(3) <= ((NOT LED(6) AND LED(3) AND NOT HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND LED(4) AND alreadystoredcnt(0)) +
      OR (LED(6) AND LED(4) AND NOT HZIN) +
      OR (NOT LED(6) AND LED(3) AND alreadystoredcnt(0)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(7))); +
+FDCPE_LED4: FDCPE port map (LED(4),LED_D(4),XSTALIN,'0','0'); +
     LED_D(4) <= ((NOT LED(6) AND LED(4) AND NOT HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND LED(5) AND alreadystoredcnt(0)) +
      OR (LED(6) AND LED(5) AND NOT HZIN) +
      OR (NOT LED(6) AND LED(4) AND alreadystoredcnt(0)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(8))); +
+FDCPE_LED5: FDCPE port map (LED(5),LED_D(5),XSTALIN,'0','0'); +
     LED_D(5) <= ((NOT LED(6) AND LED(5) AND NOT HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND alreadystoredcnt(0) AND storecounta(13)) +
      OR (LED(6) AND storecounta(13) AND NOT HZIN) +
      OR (NOT LED(6) AND LED(5) AND alreadystoredcnt(0)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(9))); +
+FTCPE_LED6: FTCPE port map (LED(6),LED_T(6),XSTALIN,'0','0'); +
     LED_T(6) <= ((NOT LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND NOT uartskip(0)) +
      OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND +
      uartctr(3) AND uartctr(4)) +
      OR (LED(6) AND alreadystoredcnt(0) AND NOT resetclk(0) AND +
      uartskip(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND +
      uartctr(3) AND uartctr(4)) +
      OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND +
      uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND +
      uartctr(4) AND NOT HZIN)); +
+FTCPE_LED7: FTCPE port map (LED(7),LED_T(7),XSTALIN,'0','0'); +
     LED_T(7) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND +
      uartctr(2) AND uartctr(3) AND uartctr(4)) +
      OR (NOT LED(7) AND LED(6) AND NOT alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND +
      uartctr(3) AND uartctr(4) AND NOT HZIN)); +
+FDCPE_TX: FDCPE port map (TX,TX_D,XSTALIN,'0','0'); +
     TX_D <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND NOT resetclk(0) AND storecounta(1)) +
      OR (NOT LED(6) AND NOT resetclk(0) AND TX) +
      OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND +
      HZIN) +
      OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND HZIN AND TX)); +
+FDCPE_alreadystoredcnt0: FDCPE port map (alreadystoredcnt(0),alreadystoredcnt_D(0),XSTALIN,'0','0'); +
     alreadystoredcnt_D(0) <= ((LED(7) AND NOT LED(6) AND NOT resetclk(0) AND uartskip(0) AND +
      NOT HZIN) +
      OR (NOT alreadystoredcnt(0) AND NOT HZIN)); +
+FDCPE_clkcounta0: FDCPE port map (clkcounta(0),clkcounta_D(0),XSTALIN,'0','0'); +
     clkcounta_D(0) <= ((NOT resetclk(0) AND NOT clkcounta(0)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0))); +
+FDCPE_clkcounta1: FDCPE port map (clkcounta(1),clkcounta_D(1),XSTALIN,'0','0'); +
     clkcounta_D(1) <= ((NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      NOT clkcounta(1)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0) AND +
      clkcounta(1)) +
      OR (NOT resetclk(0) AND clkcounta(0) AND NOT clkcounta(1)) +
      OR (NOT resetclk(0) AND NOT clkcounta(0) AND clkcounta(1))); +
+FTCPE_clkcounta2: FTCPE port map (clkcounta(2),clkcounta_T(2),XSTALIN,'0','0'); +
     clkcounta_T(2) <= ((NOT resetclk(0) AND clkcounta(0) AND clkcounta(1)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      clkcounta(1)) +
      OR (alreadystoredcnt(0) AND resetclk(0) AND clkcounta(2)) +
      OR (resetclk(0) AND NOT HZIN AND clkcounta(2))); +
+FTCPE_clkcounta3: FTCPE port map (clkcounta(3),clkcounta_T(3),XSTALIN,'0','0'); +
     clkcounta_T(3) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(3)) +
      OR (resetclk(0) AND NOT HZIN AND clkcounta(3)) +
      OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND +
      clkcounta(2)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      clkcounta(1) AND clkcounta(2))); +
+FTCPE_clkcounta4: FTCPE port map (clkcounta(4),clkcounta_T(4),XSTALIN,'0','0'); +
     clkcounta_T(4) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(4)) +
      OR (resetclk(0) AND NOT HZIN AND clkcounta(4)) +
      OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND +
      clkcounta(2) AND clkcounta(3)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      clkcounta(1) AND clkcounta(2) AND clkcounta(3))); +
+FTCPE_clkcounta5: FTCPE port map (clkcounta(5),clkcounta_T(5),XSTALIN,'0','0'); +
     clkcounta_T(5) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(5)) +
      OR (resetclk(0) AND NOT HZIN AND clkcounta(5)) +
      OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND +
      clkcounta(2) AND clkcounta(3) AND clkcounta(4)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4))); +
+FTCPE_clkcounta6: FTCPE port map (clkcounta(6),clkcounta_T(6),XSTALIN,'0','0'); +
     clkcounta_T(6) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(6)) +
      OR (resetclk(0) AND NOT HZIN AND clkcounta(6)) +
      OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND +
      clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND +
      clkcounta(5))); +
+FTCPE_clkcounta7: FTCPE port map (clkcounta(7),clkcounta_T(7),XSTALIN,'0','0'); +
     clkcounta_T(7) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(7)) +
      OR (resetclk(0) AND NOT HZIN AND clkcounta(7)) +
      OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND +
      clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND +
      clkcounta(6)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND +
      clkcounta(5) AND clkcounta(6))); +
+FTCPE_clkcounta8: FTCPE port map (clkcounta(8),clkcounta_T(8),XSTALIN,'0','0'); +
     clkcounta_T(8) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(8)) +
      OR (resetclk(0) AND NOT HZIN AND clkcounta(8)) +
      OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND +
      clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND +
      clkcounta(6) AND clkcounta(7)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND +
      clkcounta(5) AND clkcounta(6) AND clkcounta(7))); +
+FTCPE_clkcounta9: FTCPE port map (clkcounta(9),clkcounta_T(9),XSTALIN,'0','0'); +
     clkcounta_T(9) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(9)) +
      OR (resetclk(0) AND NOT HZIN AND clkcounta(9)) +
      OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND +
      clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND +
      clkcounta(6) AND clkcounta(7) AND clkcounta(8)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND +
      clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8))); +
+FTCPE_clkcounta10: FTCPE port map (clkcounta(10),clkcounta_T(10),XSTALIN,'0','0'); +
     clkcounta_T(10) <= ((alreadystoredcnt(0) AND resetclk(0) AND +
      clkcounta(10)) +
      OR (resetclk(0) AND NOT HZIN AND clkcounta(10)) +
      OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND +
      clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND +
      clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND clkcounta(9)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND +
      clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND +
      clkcounta(9))); +
+FTCPE_clkcounta11: FTCPE port map (clkcounta(11),clkcounta_T(11),XSTALIN,'0','0'); +
     clkcounta_T(11) <= ((alreadystoredcnt(0) AND resetclk(0) AND +
      clkcounta(11)) +
      OR (resetclk(0) AND NOT HZIN AND clkcounta(11)) +
      OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND +
      clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND +
      clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND +
      clkcounta(9)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      clkcounta(10) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND +
      clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND +
      clkcounta(8) AND clkcounta(9))); +
+FTCPE_clkcounta12: FTCPE port map (clkcounta(12),clkcounta_T(12),XSTALIN,'0','0'); +
     clkcounta_T(12) <= ((alreadystoredcnt(0) AND resetclk(0) AND +
      clkcounta(12)) +
      OR (resetclk(0) AND NOT HZIN AND clkcounta(12)) +
      OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND +
      clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND +
      clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND +
      clkcounta(8) AND clkcounta(9)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND +
      clkcounta(10) AND clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND +
      clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND +
      clkcounta(7) AND clkcounta(8) AND clkcounta(9))); +
+FDCPE_resetclk0: FDCPE port map (resetclk(0),resetclk_D(0),XSTALIN,'0','0'); +
     resetclk_D(0) <= (NOT alreadystoredcnt(0) AND HZIN); +
+FDCPE_storecounta1: FDCPE port map (storecounta(1),storecounta_D(1),XSTALIN,'0','0'); +
     storecounta_D(1) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND NOT resetclk(0) AND storecounta(2)) +
      OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(1)) +
      OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(2) AND +
      HZIN) +
      OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND +
      HZIN)); +
+FDCPE_storecounta2: FDCPE port map (storecounta(2),storecounta_D(2),XSTALIN,'0','0'); +
     storecounta_D(2) <= ((NOT LED(6) AND storecounta(2)) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND storecounta(3)) +
      OR (alreadystoredcnt(0) AND resetclk(0)) +
      OR (resetclk(0) AND NOT HZIN)); +
+FDCPE_storecounta3: FDCPE port map (storecounta(3),storecounta_D(3),XSTALIN,'0','0'); +
     storecounta_D(3) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(3)) +
      OR (NOT LED(6) AND storecounta(3) AND NOT HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND alreadystoredcnt(0) AND storecounta(4)) +
      OR (LED(6) AND storecounta(4) AND NOT HZIN) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0))); +
+FDCPE_storecounta4: FDCPE port map (storecounta(4),storecounta_D(4),XSTALIN,'0','0'); +
     storecounta_D(4) <= ((NOT LED(6) AND storecounta(4) AND NOT HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND alreadystoredcnt(0) AND storecounta(5)) +
      OR (LED(6) AND storecounta(5) AND NOT HZIN) +
      OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(4)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(1))); +
+FDCPE_storecounta5: FDCPE port map (storecounta(5),storecounta_D(5),XSTALIN,'0','0'); +
     storecounta_D(5) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(5)) +
      OR (NOT LED(6) AND storecounta(5) AND NOT HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND alreadystoredcnt(0) AND storecounta(6)) +
      OR (LED(6) AND storecounta(6) AND NOT HZIN) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(2))); +
+FDCPE_storecounta6: FDCPE port map (storecounta(6),storecounta_D(6),XSTALIN,'0','0'); +
     storecounta_D(6) <= ((NOT LED(6) AND storecounta(6) AND NOT HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND LED(0) AND alreadystoredcnt(0)) +
      OR (LED(6) AND LED(0) AND NOT HZIN) +
      OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(6)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(3))); +
+FDCPE_storecounta13: FDCPE port map (storecounta(13),storecounta_D(13),XSTALIN,'0','0'); +
     storecounta_D(13) <= ((NOT LED(6) AND storecounta(13) AND NOT HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND alreadystoredcnt(0) AND storecounta(14)) +
      OR (LED(6) AND storecounta(14) AND NOT HZIN) +
      OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(13)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(10))); +
+FDCPE_storecounta14: FDCPE port map (storecounta(14),storecounta_D(14),XSTALIN,'0','0'); +
     storecounta_D(14) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(14)) +
      OR (NOT LED(6) AND storecounta(14) AND NOT HZIN) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(11)) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND alreadystoredcnt(0) AND storecounta(15)) +
      OR (LED(6) AND storecounta(15) AND NOT HZIN)); +
+FDCPE_storecounta15: FDCPE port map (storecounta(15),storecounta_D(15),XSTALIN,'0','0'); +
     storecounta_D(15) <= ((NOT LED(6) AND storecounta(15) AND NOT HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND alreadystoredcnt(0) AND storecounta(16)) +
      OR (LED(6) AND storecounta(16) AND NOT HZIN) +
      OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(15)) +
      OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(12))); +
+FDCPE_storecounta16: FDCPE port map (storecounta(16),storecounta_D(16),XSTALIN,'0','0'); +
     storecounta_D(16) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND storecounta(17)) +
      OR (NOT LED(6) AND storecounta(16)) +
      OR (alreadystoredcnt(0) AND resetclk(0)) +
      OR (resetclk(0) AND NOT HZIN)); +
+FDCPE_storecounta17: FDCPE port map (storecounta(17),storecounta_D(17),XSTALIN,'0','0'); +
     storecounta_D(17) <= ((NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(17) AND +
      HZIN) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND NOT resetclk(0) AND storecounta(18)) +
      OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(17)) +
      OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(18) AND +
      HZIN)); +
+FDCPE_storecounta18: FDCPE port map (storecounta(18),storecounta_D(18),XSTALIN,'0','0'); +
     storecounta_D(18) <= ((LED(6) AND NOT alreadystoredcnt(0) AND HZIN) +
      OR (NOT alreadystoredcnt(0) AND storecounta(18) AND HZIN) +
      OR (LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND +
      uartskip(0) AND NOT HZIN) +
      OR (LED(6) AND NOT resetclk(0)) +
      OR (NOT resetclk(0) AND storecounta(18))); +
+FTCPE_uartctr0: FTCPE port map (uartctr(0),uartctr_T(0),XSTALIN,'0','0'); +
     uartctr_T(0) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND +
      uartctr(3) AND uartctr(4)) +
      OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0)) +
      OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND +
      uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND +
      uartctr(4) AND NOT HZIN)); +
+FTCPE_uartctr1: FTCPE port map (uartctr(1),uartctr_T(1),XSTALIN,'0','0'); +
     uartctr_T(1) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND uartctr(0)) +
      OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND +
      uartctr(3) AND uartctr(4)) +
      OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND +
      uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND +
      uartctr(4) AND NOT HZIN)); +
+FTCPE_uartctr2: FTCPE port map (uartctr(2),uartctr_T(2),XSTALIN,'0','0'); +
     uartctr_T(2) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1)) +
      OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND +
      uartctr(3) AND uartctr(4)) +
      OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND +
      uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND +
      uartctr(4) AND NOT HZIN)); +
+FTCPE_uartctr3: FTCPE port map (uartctr(3),uartctr_T(3),XSTALIN,'0','0'); +
     uartctr_T(3) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND +
      uartctr(2)) +
      OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND +
      uartctr(3) AND uartctr(4)) +
      OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND +
      uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND +
      uartctr(4) AND NOT HZIN)); +
+FTCPE_uartctr4: FTCPE port map (uartctr(4),uartctr_T(4),XSTALIN,'0','0'); +
     uartctr_T(4) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND +
      uartctr(3) AND uartctr(4)) +
      OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND +
      uartctr(2) AND uartctr(3)) +
      OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND +
      uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND +
      uartctr(4) AND NOT HZIN)); +
+FTCPE_uartskip0: FTCPE port map (uartskip(0),uartskip_T(0),XSTALIN,'0','0'); +
     uartskip_T(0) <= ((NOT LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND +
      NOT uartskip(0)) +
      OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND +
      NOT resetclk(0) AND uartskip(0) AND NOT HZIN)); +
+Register Legend: +
      FDCPE (Q,D,C,CLR,PRE,CE); +
      FTCPE (Q,D,C,CLR,PRE,CE); +
      LDCP (Q,D,G,CLR,PRE); +
+
+
+ + +
+ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/education.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/education.gif new file mode 100755 index 0000000..07e9507 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/education.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/endmkt.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/endmkt.gif new file mode 100755 index 0000000..15371dc Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/endmkt.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/eqns.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/eqns.htm new file mode 100644 index 0000000..c31b84d --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/eqns.htm @@ -0,0 +1,949 @@ + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/eqns.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/eqns.js new file mode 100644 index 0000000..0459153 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/eqns.js @@ -0,0 +1,929 @@ +var eqnType = 0; +var spcStr = " "; +var nlStr = "
"; +var tabStr = spcStr + spcStr + spcStr + spcStr + spcStr; +var nlTabStr = nlStr + tabStr; +var rClrS = ""; +var rClrE = ""; +var cClrS = ""; +var cClrE = ""; + +var abelOper = new Array(); +abelOper["GND"] = new Array("Gnd"); +abelOper["VCC"] = new Array("Vcc"); +abelOper["NOT"] = new Array(rClrS + "!" + rClrE); +abelOper["AND"] = new Array(rClrS + "&" + rClrE); +abelOper["OR"] = new Array(rClrS + "#" + rClrE); +abelOper["XOR"] = new Array(rClrS + "$" + rClrE); +abelOper["EQUAL_COLON"] = new Array(":= "); +abelOper["EQUAL"] = new Array("= "); +abelOper["ASSIGN"] = new Array(""); +abelOper["OPEN_NEGATE"] = new Array("("); +abelOper["CLOSE_NEGATE"] = new Array(")"); +abelOper["OPEN_PTERM"] = new Array(""); +abelOper["CLOSE_PTERM"] = new Array(""); +abelOper["OPEN_BRACE"] = new Array("<"); +abelOper["CLOSE_BRACE"] = new Array(">"); +abelOper["INVALID_OPEN_BRACE"] = new Array("<"); +abelOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +abelOper["ENDLN"] = new Array(";"); +abelOper["COMMENT"] = new Array("//"); +abelOper["IMPORT"] = new Array(";Imported pterms "); +abelOper["GCK_COM"] = new Array("GCK"); +abelOper["GTS_COM"] = new Array("GTS"); +abelOper["GSR_COM"] = new Array("GSR"); +abelOper["OD_COM"] = new Array("Open Drain"); +abelOper["START_EQN"] = new Array(""); +abelOper["END_EQN"] = new Array(""); + +abelOper["_I"] = new Array(".I"); +abelOper["_T"] = new Array(".T"); +abelOper["_D"] = new Array(".D"); +abelOper["_C"] = new Array(".CLK"); +abelOper["_DEC"] = new Array(".DEC"); +abelOper["_LH"] = new Array(".LH"); +abelOper["_CLR"] = new Array(".AR"); +abelOper["_PRE"] = new Array(".AP"); +abelOper["_CE"] = new Array(".CE"); +abelOper["_OE"] = new Array(".OE"); + +abelOper["OE_START"] = new Array(" <= "); +abelOper["OE_WHEN"] = new Array(" when "); +abelOper["OE_EQUAL"] = new Array(" = "); +abelOper["OE_ELSE"] = new Array(" else "); +abelOper["B0"] = new Array("'0'"); +abelOper["B1"] = new Array("'1'"); +abelOper["BZ"] = new Array("'Z'"); + +abelOper["FD"] = new Array(".D"); +abelOper["FT"] = new Array(".T"); +abelOper["FDD"] = new Array(".DEC"); +abelOper["FTD"] = new Array(".T"); +abelOper["LD"] = new Array(".LH"); +abelOper["Q"] = new Array(".Q"); + +var vhdlOper = new Array(); +vhdlOper["GND"] = new Array("'0'"); +vhdlOper["VCC"] = new Array("'1'"); +vhdlOper["NOT"] = new Array(rClrS + "NOT " + rClrE); +vhdlOper["AND"] = new Array(rClrS + "AND" + rClrE); +vhdlOper["OR"] = new Array(rClrS + "OR" + rClrE); +vhdlOper["XOR"] = new Array(rClrS + "XOR" + rClrE); +vhdlOper["EQUAL_COLON"] = new Array("<= "); +vhdlOper["EQUAL"] = new Array("<= "); +vhdlOper["ASSIGN"] = new Array(""); +vhdlOper["OPEN_NEGATE"] = new Array("("); +vhdlOper["CLOSE_NEGATE"] = new Array(")"); +vhdlOper["OPEN_PTERM"] = new Array("("); +vhdlOper["CLOSE_PTERM"] = new Array(")"); +vhdlOper["OPEN_BRACE"] = new Array("("); +vhdlOper["CLOSE_BRACE"] = new Array(")"); +vhdlOper["INVALID_OPEN_BRACE"] = new Array("<"); +vhdlOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +vhdlOper["ENDLN"] = new Array(";"); +vhdlOper["COMMENT"] = new Array("--"); +vhdlOper["IMPORT"] = new Array(""); +vhdlOper["GCK_COM"] = new Array("GCK"); +vhdlOper["GTS_COM"] = new Array("GTS"); +vhdlOper["GSR_COM"] = new Array("GSR"); +vhdlOper["OD_COM"] = new Array("Open Drain"); +vhdlOper["START_EQN"] = new Array(rClrS + "port map" + rClrE + " ("); +vhdlOper["END_EQN"] = new Array(")"); + +vhdlOper["_I"] = new Array("_I"); +vhdlOper["_T"] = new Array("_T"); +vhdlOper["_D"] = new Array("_D"); +vhdlOper["_C"] = new Array("_C"); +vhdlOper["_DEC"] = new Array("_C"); +vhdlOper["_LH"] = new Array("_C"); +vhdlOper["_CLR"] = new Array("_CLR"); +vhdlOper["_PRE"] = new Array("_PRE"); +vhdlOper["_CE"] = new Array("_CE"); +vhdlOper["_OE"] = new Array("_OE"); + +vhdlOper["OE_START"] = new Array(" <= "); +vhdlOper["OE_WHEN"] = new Array(" when "); +vhdlOper["OE_EQUAL"] = new Array(" = "); +vhdlOper["OE_ELSE"] = new Array(" else "); +vhdlOper["B0"] = new Array("'0'"); +vhdlOper["B1"] = new Array("'1'"); +vhdlOper["BZ"] = new Array("'Z'"); + +vhdlOper["FD"] = new Array("FDCPE"); +vhdlOper["FT"] = new Array("FTCPE"); +vhdlOper["FDD"] = new Array("FDDCPE"); +vhdlOper["FTD"] = new Array("FTDCPE"); +vhdlOper["LD"] = new Array("LDCP"); +vhdlOper["Q"] = new Array(""); + +var verOper = new Array(); +verOper["GND"] = new Array("1'b0"); +verOper["VCC"] = new Array("1'b1"); +verOper["NOT"] = new Array(rClrS + "!" + rClrE); +verOper["AND"] = new Array(rClrS + "&&" + rClrE); +verOper["OR"] = new Array(rClrS + "||" + rClrE); +verOper["XOR"] = new Array(rClrS + "XOR" + rClrE); +verOper["EQUAL_COLON"] = new Array("= "); +verOper["EQUAL"] = new Array("= "); +verOper["ASSIGN"] = new Array("assign "); +verOper["OPEN_NEGATE"] = new Array("("); +verOper["CLOSE_NEGATE"] = new Array(")"); +verOper["OPEN_PTERM"] = new Array("("); +verOper["CLOSE_PTERM"] = new Array(")"); +verOper["OPEN_BRACE"] = new Array("["); +verOper["CLOSE_BRACE"] = new Array("]"); +verOper["INVALID_OPEN_BRACE"] = new Array("<"); +verOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +verOper["ENDLN"] = new Array(";"); +verOper["COMMENT"] = new Array("//"); +verOper["IMPORT"] = new Array(""); +verOper["GCK_COM"] = new Array("GCK"); +verOper["GTS_COM"] = new Array("GTS"); +verOper["GSR_COM"] = new Array("GSR"); +verOper["OD_COM"] = new Array("Open Drain"); +verOper["START_EQN"] = new Array(" ("); +verOper["END_EQN"] = new Array(")"); + +verOper["_I"] = new Array("_I"); +verOper["_T"] = new Array("_T"); +verOper["_D"] = new Array("_D"); +verOper["_C"] = new Array("_C"); +verOper["_DEC"] = new Array("_C"); +verOper["_LH"] = new Array("_C"); +verOper["_CLR"] = new Array("_CLR"); +verOper["_PRE"] = new Array("_PRE"); +verOper["_CE"] = new Array("_CE"); +verOper["_OE"] = new Array("_OE"); + +verOper["OE_START"] = new Array(" = "); +verOper["OE_WHEN"] = new Array(" ? "); +verOper["OE_EQUAL"] = new Array(""); +verOper["OE_ELSE"] = new Array(" : "); +verOper["B0"] = new Array("1'b0"); +verOper["B1"] = new Array("1'b1"); +verOper["BZ"] = new Array("1'bz"); + +verOper["FD"] = new Array("FDCPE"); +verOper["FT"] = new Array("FTCPE"); +verOper["FDD"] = new Array("FDDCPE"); +verOper["FTD"] = new Array("FTDCPE"); +verOper["LD"] = new Array("LDCP"); +verOper["Q"] = new Array(""); + +var operator = abelOper; + +var pterms = new Array(); +var d1 = new Array(); +var d2 = new Array(); +var clk = new Array(); +var set = new Array(); +var rst = new Array(); +var trst = new Array(); +var d1imp = new Array(); +var d2imp = new Array(); +var clkimp = new Array(); +var setimp = new Array(); +var rstimp = new Array(); +var trstimp = new Array(); +var gblclk = new Array(); +var gblset = new Array(); +var gblrst = new Array(); +var gbltrst = new Array(); +var ce = new Array(); +var ceimp = new Array(); +var prld = new Array(); +var specSig = new Array(); +var clkNegs = new Array(); +var setNegs = new Array(); +var rstNegs = new Array(); +var trstNegs = new Array(); +var ceNegs = new Array(); +var fbnand = new Array(); +var inreg = new Array(); +var iostyle = new Array(); + +var dOneLit = true; + +function setOper(type) { + if (type == "1") { operator = vhdlOper; eqnType = 1; } + else if (type == "2") { operator = verOper; eqnType = 2; } + else { operator = abelOper; eqnType = 0; } +} + +function isXC95() { + if (device.indexOf("95") != -1) return true; + return false; +} + +function is9500() { + if ((device.indexOf("95") != -1) && + (device.indexOf("XL") == -1) && + (device.indexOf("XV") == -1)) return true; + return false; +} + +function retSigType(s) { + var sigType = sigTypes[s]; + var str = operator["Q"]; + if (sigType == "D") str = operator["FD"]; + else if (sigType == "T") str = operator["FT"]; + else if (sigType.indexOf("LATCH") != -1) str = operator["LD"]; + else if (sigType.indexOf("DDEFF") != -1) str = operator["FDD"]; + else if (sigType.indexOf("DEFF") != -1) str = operator["FD"]; + else if (sigType.indexOf("DDFF") != -1) str = operator["FDD"]; + else if (sigType.indexOf("TDFF") != -1) str = operator["FTD"]; + else if (sigType.indexOf("DFF") != -1) str = operator["FD"]; + else if (sigType.indexOf("TFF") != -1) str = operator["FT"]; + return str; +} + +function retSigIndex(signal) { + for (s=0; s 1) str += operator["OPEN_PTERM"]; + for (p=0; p0) str += " " + operator["AND"] + " "; + var neg = 0; + if (sig.indexOf("/") != -1) { + sig = sig.substring(1, sig.length); + str += operator["NOT"]; + neg = 1; + } + + str += retSigName(sig); + } + if (pterms[pt].length > 1) str += operator["CLOSE_PTERM"]; + + return str; +} + +function retFBMC(str) { + return str.substring(0,str.length-2) + nlStr + tabStr; +} + +function retD1D2(signal) { + var str = ""; + + dOneLit = true; + if (d1[signal]) { + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(d1[signal][i]); + } + + if (d2[signal]) str += nlTabStr + operator["XOR"]+ spcStr; + } + + if (d2[signal]) { + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(d2[signal][i]); + } + } + + if (str == "GND") str = operator["GND"]; + else if (str == "VCC") str = operator["VCC"]; + else if (!isOneLiteral(str)) { + dOneLit = false; + + var type = retSigType(retSigIndex(signal)); + if ((type == operator["FD"]) || + (type == operator["FDD"])) type = operator["_D"]; + else if ((type == operator["FT"]) || + (type == operator["FTD"])) type = operator["_T"]; + else if (type == operator["LD"] && eqnType) type = "_D"; + + var tmpStr = updateName(retSigName(signal), type); + tmpStr += spcStr + operator["EQUAL_COLON"]; + var idx = retSigIndex(signal); + if (eqnType && sigNegs[idx] == "ON") tmpStr += operator["NOT"] + operator["OPEN_NEGATE"]; + str = tmpStr + str; + if (eqnType && sigNegs[idx] == "ON") str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + + return str; +} + +function retClk(signal) { + var str = ""; + + if (clk[signal]) { + if (clk[signal].length == 1) { + var pterm = retPterm(clk[signal][0]); + if (clkNegs[signal]) { + str += operator["NOT"]; + if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"]; + } + str += pterm; + if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"]; + } + else { + if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(clk[signal][i]); + } + if (clkNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblclk[signal]) { + if (gblclk[signal].length == 1) { + var pterm = retPterm(gblclk[signal][0]); + if (clkNegs[signal]) { + str += operator["NOT"]; + if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"]; + } + str += pterm; + if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"]; + } + else { + if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblclk[signal][i]); + } + if (clkNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GCK_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retRst(signal) { + var str = ""; + + if (rst[signal]) { + if (rst[signal].length == 1) { + var currImp; + if (!eqnType && rstimp[signal] && (rstimp[signal][0] == "1")) { + if ((currImp != retFBMC(rst[signal][i])) && + (rst[signal][i].indexOf("FB") == 0)) { + currImp = retFBMC(rst[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (rstNegs[signal]) str += operator["NOT"]; + str += retPterm(rst[signal][0]); + } + else { + var currImp = ""; + if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(rst[signal][i]); + } + if (rstNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblrst[signal]) { + if (gblrst[signal].length == 1) { + if (rstNegs[signal]) str += operator["NOT"]; + str += retPterm(gblrst[signal][0]); + } + else { + if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblrst[signal][i]); + } + if (rstNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retSet(signal) { + var str = ""; + + if (set[signal]) { + if (set[signal].length == 1) { + var currImp = ""; + if (!eqnType && setimp[signal] && (setimp[signal][0] == "1")) { + if ((currImp != retFBMC(set[signal][i])) && + (set[signal][i].indexOf("FB") == 0)) { + currImp = retFBMC(set[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (setNegs[signal]) str += operator["NOT"]; + str += retPterm(set[signal][0]); + } + else { + var currImp = ""; + if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(set[signal][i]); + } + if (setNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblset[signal]) { + if (gblset[signal].length == 1) { + if (setNegs[signal]) str += operator["NOT"]; + str += retPterm(gblset[signal][0]); + } + else { + if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblset[signal][i]); + } + if (setNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retCE(signal) { + var str = ""; + + if (ce[signal]) { + if (ce[signal].length == 1) { + var currImp = ""; + if (!eqnType && ceimp[signal] && (ceimp[signal][0] == "1")) { + if ((currImp != retFBMC(ce[signal][i])) && + (ce[signal][i].indexOf("FB") == 0)) { + currImp = retFBMC(ce[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (ceNegs[signal]) str += operator["NOT"]; + str += retPterm(ce[signal][0]); + } + else { + var currImp = ""; + if (ceNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(ce[signal][i]); + } + if (ceNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (eqnType) str += operator["B1"]; + + return str; +} + +function retTrst(signal) { + var str = ""; + if (trst[signal]) { + if (trstNegs[signal]) + str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(trst[signal][i]); + } + if (trstNegs[signal]) str += operator["CLOSE_NEGATE"]; + } + else if (gbltrst[signal]) { + if (trstNegs[signal]) + str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gbltrst[signal][i]); + } + if (trstNegs[signal]) str += operator["CLOSE_NEGATE"]; + } + + str += operator["ENDLN"]; + return str; +} + +function retEqn(signal) { + var str = inregStr = ""; + var iStr = qStr = ""; + var dStr = dEqn = ""; + var cStr = cEqn = ""; + var clrStr = clrEqn = ""; + var preStr = preEqn = ""; + var ceStr = ceEqn = ""; + var oeStr = oeEqn = ""; + var sigName = retSigName(signal); + + var type = retSigType(retSigIndex(signal)); + + if (gbltrst[signal] || trst[signal]) iStr = operator["_I"]; + if (eqnType) qStr = updateName(sigName, iStr); + + if (inreg[signal]) { + if (!eqnType) + inregStr = operator["COMMENT"] + " Direct Input Register" + nlStr; + dStr = retSigName(inreg[signal][0]); + } + else dStr = retD1D2(signal); + if (eqnType && !dOneLit) { + dEqn = dStr; + dStr = dStr.substring(0,dStr.indexOf(operator["EQUAL_COLON"])); + } + else if (!eqnType) { + if (!dOneLit) dStr = dStr.substring(dStr.indexOf(operator["EQUAL_COLON"])+2); + if (sigNegs[retSigIndex(signal)] == "ON") dEqn += operator["NOT"]; + dEqn += sigName; + if ((type == operator["FT"]) || + (type == operator["FTD"])) dEqn += operator["_T"]; + else if ((type == operator["FD"]) || + (type == operator["FTD"])|| + (type == operator["LD"])) dEqn += operator["_D"]; + dEqn += " "; + if ((type != operator["Q"]) && (type != operator["LD"])) + dEqn += operator["EQUAL_COLON"]; + else dEqn += operator["EQUAL"]; + dEqn += dStr; + if (dOneLit) { + dEqn += operator["ENDLN"]; + if (iostyle[signal] && iostyle[signal].indexOf("OD")) + dEqn += tabStr + operator["COMMENT"] + " " + operator["OD_COM"]; + } + } + + cStr = retClk(signal); + if (eqnType && !isOneLiteral(cStr)){ + cEqn = cStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + cEqn += operator["ENDLN"]; + cStr = updateName(sigName, operator["_C"]); + } + else if (!eqnType && cStr) { + cEqn += cStr; + cStr = tabStr + sigName; + if (type == operator["LD"]) cStr += operator["_LH"]; + else if (type == operator["FDD"]) cStr += operator["_DEC"]; + else cStr += operator["_C"]; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + cEqn += operator["ENDLN"]; + if (gblclk[signal]) cEqn += tabStr + operator["COMMENT"] + " " + operator["GCK_COM"]; + } + + clrStr = retRst(signal); + if (eqnType && !isOneLiteral(clrStr)){ + clrEqn = clrStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + clrEqn += operator["ENDLN"]; + clrStr = updateName(sigName, operator["_CLR"]); + } + else if (!eqnType && clrStr) { + clrEqn += clrStr; + clrStr = tabStr + sigName + operator["_CLR"]; + if (clrEqn.indexOf(operator["ENDLN"]) == -1) + clrEqn += operator["ENDLN"]; + if (gblrst[signal]) clrEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"]; + } + + preStr = retSet(signal); + if (eqnType && !isOneLiteral(preStr)){ + preEqn = preStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + preEqn += operator["ENDLN"]; + preStr = updateName(sigName, operator["_PRE"]); + } + else if (!eqnType && preStr) { + preEqn += preStr; + preStr = tabStr + sigName + operator["_PRE"]; + if (preEqn.indexOf(operator["ENDLN"]) == -1) + preEqn += operator["ENDLN"]; + if (gblset[signal]) preEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"]; + } + + if (!is9500()) { + ceStr = retCE(signal); + if (eqnType && !isOneLiteral(ceStr)){ + ceEqn = ceStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + ceEqn += operator["ENDLN"]; + ceStr = updateName(sigName, operator["_CE"]); + } + else if (!eqnType && ceStr) { + ceEqn += ceStr; + ceStr = tabStr + sigName + operator["_CE"]; + if (ceEqn.indexOf(operator["ENDLN"]) == -1) + ceEqn += operator["ENDLN"]; + } + } + + if (eqnType && gbltrst[signal]) oeEqn = retTrst(signal); + else if (!eqnType && (trst[signal] || gbltrst[signal])) oeEqn = retTrst(signal); + + var newline = false; + if ((type == "") && (clrStr == "")) { + str += operator["ASSIGN"] + qStr + " " + operator["EQUAL"]; + if (dOneLit) str += dStr; + else str += dEqn.substring(dEqn.indexOf(operator["EQUAL"])+2); + if (oeEqn != "") { + var oeStr = updateName(sigName, operator["_OE"]); + if (eqnType == 1) { + str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr + + operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] + + operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"]; + } + else if (eqnType == 2) { + str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] + + oeStr + operator["OE_WHEN"] + qStr + + operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"]; + } + str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + } + else { + if (eqnType == 1) { + str += type + "_" + removePar(retSigName(signal)) + + ": " + type + " " + operator["START_EQN"] + + qStr + ", " + dStr + ", " + cStr + ", " + + clrStr + ", " + preStr; + if (!is9500() && (type != operator["LD"])) str += ", " + ceStr; + str += operator["END_EQN"] + operator["ENDLN"]; + newline = true; + } + else if (eqnType == 2) { + str += type + " " + + type + "_" + removePar(retSigName(signal)) + + operator["START_EQN"] + + qStr + ", " + dStr + ", " + cStr + ", " + + clrStr + ", " + preStr; + if (!is9500() && (type != operator["LD"])) str += ", " + ceStr; + str += operator["END_EQN"] + operator["ENDLN"]; + newline = true; + } + + if (dEqn != "") { + if (newline) str += nlStr; + if (inregStr) str += inregStr; + str += operator["ASSIGN"] + dEqn; + } + + if (cEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + cStr + " " + operator["EQUAL"] + " " + cEqn; + } + + if (clrEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + clrStr + " " + operator["EQUAL"] + " " + clrEqn; + } + + + if (preEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + preStr + " " + operator["EQUAL"] + " " + preEqn; + } + + if (ceEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + ceStr + " " + operator["EQUAL"] + " " + ceEqn; + } + + if (oeEqn != "") { + if (eqnType == 1) { + // var oeStr = updateName(sigName, operator["_OE"]); + var oeStr = sigName; + str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr + + operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] + + operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"]; + // str += nlStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + else if (eqnType == 2) { + // var oeStr = updateName(sigName, operator["_OE"]); + var oeStr = sigName; + str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] + oeStr + operator["OE_WHEN"] + qStr + + operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"]; + // str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + else { + var oeStr = sigName + operator["_OE"]; + if (gbltrst[signal]) + oeEqn += tabStr + operator["COMMENT"] + " " + operator["GTS_COM"]; + str += nlStr + tabStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + } + } + + if (iostyle[signal] && iostyle[signal].indexOf("OD")) { + if (str.indexOf("//") == -1) + str += tabStr + operator["COMMENT"] + " " + operator["OD_COM"]; + } + + return str; +} + +function retFamily() { + var family = "xc9500"; + if (device.indexOf("XC2C") != -1) { + if (device.indexOf("S") != -1) family = "cr2s"; + else family = "xbr"; + } + else if (device.indexOf("XCR3") != -1) family = "xpla3"; + else { + if (device.indexOf("XL") != -1) family = "xc9500xl"; + if (device.indexOf("XV") != -1) family = "xc9500xv"; + } + + return family; +} + +function retDesign() { return design; } + +function getPterm(pt, type) { + if (type) return type + " = " + retPterm(pt); + return "PT" + pt.substring(pt.indexOf('_')+1,pt.length) + " = " + retPterm(pt); +} + +function getPRLDName(prld) { + if (eqnType != 0) return prld; + else if (prld == "VCC") return "S"; + return "R"; +} + +function retFbnand(signal) { + var str = operator["COMMENT"] + spcStr + "Foldback NAND"; + str += nlStr + retSigName(signal) + spcStr + operator["EQUAL"] + spcStr; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(fbnand[signal][i]); + } + + return str; +} + +function getEqn(signal) { return retEqn(signal); } + +function retUimPterm(pt) { + var str = ""; + if (!uimPterms[pt]) return pt; + for (p=0; p0) str += spcStr + operator["AND"] + spcStr; + var sig = uimPterms[pt][p]; + if (sig.indexOf("/") != -1) sig = sig.substring(1, sig.length); + + str += retSigName(sig); + } + return str; +} + +function retUimEqn(signal) { + var str = operator["COMMENT"] + spcStr + "FC Node" + nlStr; + var neg = 0; + if (uimSigNegs[s] == "ON") str += operator["NOT"]; + str += retSigName(signal) + spcStr + operator["EQUAL"]; + str += retUimPterm(signal) + ";"; + + return str; +} + +function retLegend(url) { + var str = ""; + if (!eqnType && !isXC95()) { + str = "Legend: " + "<" + "signame" + ">" + ".COMB = combinational node mapped to "; + str += "the same physical macrocell as the FastInput \"signal\" (not logically related)"; + } + else if (eqnType) { + str = "Register Legend:"; + if (is9500()) { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + else if (retFamily() == "xbr") { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FDDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + else { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + } + return str; +} + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equations.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equations.gif new file mode 100755 index 0000000..d81602d Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equations.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equations.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equations.htm new file mode 100644 index 0000000..39141d2 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equations.htm @@ -0,0 +1,13 @@ + + + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equationsdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equationsdoc.htm new file mode 100644 index 0000000..e335b77 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equationsdoc.htm @@ -0,0 +1,53 @@ + + + + + + + + + + +Equations + + + + + + + + + + + + + + + + + + + + + + +

Equations

+ +

The Equations + page provides a list of equations organized by signal name.  You + can use the pulldown menu in the left-hand frame of the page to select + ABEL, VHDL, or Verilog as your language of display.

+ +

+ + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors.js new file mode 100644 index 0000000..9df6118 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors.js @@ -0,0 +1,41 @@ +var infoList = new Array(); +var warnList = new Array(); +var errorList = new Array(); + +function updateError(type) { + with (document.options) { + switch (type) { + case 0: + if (info.checked) parent.leftnav.document.options.info.value = 1; + else parent.leftnav.document.options.info.value = 0; + break; + + case 1: + if (warn.checked) parent.leftnav.document.options.warn.value = 1; + else parent.leftnav.document.options.warn.value = 0; + break; + + case 2: + if (error.checked) parent.leftnav.document.options.error.value = 1; + else parent.leftnav.document.options.error.value = 0; + break; + } + } + + parent.leftnav.showError(); +} + +function init() { + if (!document.options) return; + with (document.options) { + if (parent.leftnav.document.options.info.value == 1) info.checked = 1; + else info.checked = 0; + if (parent.leftnav.document.options.warn.value == 1) warn.checked = 1; + else warn.checked = 0; + if (parent.leftnav.document.options.error.value == 1) error.checked = 1; + else error.checked = 0; + + } +} + +function showError(url) { parent.leftnav.showErrorLink(url); } diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors1.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors1.gif new file mode 100755 index 0000000..7908568 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors1.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors2.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors2.gif new file mode 100755 index 0000000..6a3df4c Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors2.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errorsdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errorsdoc.htm new file mode 100644 index 0000000..460449a --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errorsdoc.htm @@ -0,0 +1,91 @@ + + + + + + + + + + +Errors + + + + + + + + + + + + + + + + + + + + + + + + +

Errors/Warnings

+ +

The Errors/Warnings + section of the report lists all of the error, warning, and information + messages generated by the fitter. By default, this section will display + the number of each kind of message you have and the full text of the messages, + but checkboxes at the top of the screen allow you to filter message details + as you choose.

+ +

Checking all + the boxes will give you a display like this:

+ +

+ +

Deselecting + the Warning box in this particular example would result in this less detailed + display:

+ +

+ + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errs.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errs.htm new file mode 100755 index 0000000..4ff310b --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errs.htm @@ -0,0 +1,13 @@ + + + + + + +

Errors and Warnings

+There are 0 error(s), 1 warning(s), and 0 information.

[Warning]:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'counta.ise'.
+ + +
+ + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtable.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtable.htm new file mode 100755 index 0000000..0d33122 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtable.htm @@ -0,0 +1,33 @@ + + + + + + +

Failure Table

+ + + + + + +
Signal NameFB1FB2FB3FB4
+
+ + + + + + + + + + + +
Legend:
ce - signal clock enable cannot be placed
clk - signal clock cannot be placed
fbi - insufficient function block inputs available to place signal
io - insufficient I/O pins available to place output
loc - signal cannot be placed in this FB because it is assigned to a different FB
mc - insufficient macrocells available to place signal
oe - signal output enable cannot be placed
pt - insufficient product terms available to place signal
sr - signal set/reset cannot be placed
unk - unknown reason for failure - Please contact Xilinx Support
+ +
+ + +
+ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtable.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtable.js new file mode 100644 index 0000000..045081f --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtable.js @@ -0,0 +1 @@ +function showFailTable() { parent.leftnav.showFailTable(); } diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtabledoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtabledoc.htm new file mode 100755 index 0000000..c95037a --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtabledoc.htm @@ -0,0 +1,95 @@ + + + + + + + + + + + + + + + + Failure Table + + + + + + + + + + + +

+Failure Table

+The +Failure Table section provides a table listing all logic failing to be +placed as well as the cause for failure to fit for each individual Function +Block. The user can use this table to determine primary cause of +failure and try to correct it. +
+
The +Failure Table contains the following:  +
    +
  • +The +signal name 
  • +
+ +
Note: +Clicking on the signal name will open a new window with the equations for +that signal. 
+ +
    +
  • +A +column for each Function Block in device, with reason for failure to fit +for each FB
  • + +
  • +A +legend at the bottom listing all possible reasons for failure
  • +
+ + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fb.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fb.gif new file mode 100755 index 0000000..9783d6a Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fb.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fb1.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fb1.gif new file mode 100755 index 0000000..5d8b734 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fb1.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs.htm new file mode 100755 index 0000000..956b589 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs.htm @@ -0,0 +1,49 @@ + + + + + + +

Function Blocks

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Function BlockMacrocells Used/TotalFunction Block Inputs Used/TotalProduct Terms Used/TotalPins Used/Total
FB17 / 1825 / 5447 / 907 / 9
FB211 / 1822 / 5457 / 900 / 9
FB318 / 1822 / 5484 / 902 / 9
FB46 / 1817 / 5439 / 902 / 7
+ + +
+ + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs.js new file mode 100644 index 0000000..8e97ea6 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs.js @@ -0,0 +1,9 @@ +function showFBApplet(fb) { parent.leftnav.showAppletFB(fb); } +function showFB(fb) { parent.leftnav.showFB(fb); } +function showMC(mc) { parent.leftnav.showAppletMC(mc); } +function showPT(pterm, type) { parent.leftnav.showPterm(pterm, type); } +function showPin(pin) { parent.leftnav.showAppletPin(pin); } +function showEqn(sig) { parent.leftnav.showEqn(sig); } +function showFBDetail(fb) { parent.leftnav.showFB(fb); } +function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); } +function showTop() { parent.leftnav.showTop(); } diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB1.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB1.htm new file mode 100755 index 0000000..5f353eb --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB1.htm @@ -0,0 +1,248 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
(unused)0 MC1  (b) 
(unused)0 MC2 39I/O 
(unused)0 MC3  (b) 
(unused)0 MC4  (b) 
(unused)0 MC5 40I/O(b)
LED<0>7 5_1 6_1 6_2 6_3 6_4 6_5 7_1 +MC6STD41I/OO
(unused)0 MC7  (b)(b)
LED<1>7 8_1 8_2 8_3 8_4 8_5 9_4 9_5 +MC8STD42I/OO
LED<2>7 10_1 10_2 10_3 10_4 9_1 9_2 9_3 +MC9STD43I/O/GCK1O
(unused)0 MC10  (b)(b)
LED<3>7 11_1 11_2 11_3 11_4 11_5 12_1 12_2 +MC11STD44I/O/GCK2O
(unused)0 MC12  (b)(b)
(unused)0 MC13  (b)(b)
LED<4>7 13_1 13_2 14_1 14_2 14_3 14_4 14_5 +MC14STD1I/O/GCK3O
LED<5>7 15_1 15_2 15_3 15_4 15_5 16_1 16_2 +MC15STD2I/OO
(unused)0 MC16  (b)(b)
LED<6>5 17_1 17_2 17_3 17_4 17_5 +MC17STD3I/OO
(unused)0 MC18  (b) 
+
+
Signals Used By Logic in Function Block
    +
  1. HZIN
  2. +
  3. LED<0>
  4. +
  5. LED<1>
  6. +
  7. LED<2>
  8. +
  9. LED<3>
  10. +
  11. LED<4>
  12. +
  13. LED<5>
  14. +
  15. LED<6>
  16. +
  17. LED<7>
  18. +
  19. XSTALIN
  20. +
  21. alreadystoredcnt<0>
  22. +
  23. clkcounta<4>
  24. +
  25. clkcounta<5>
  26. +
  27. clkcounta<6>
  28. +
  29. clkcounta<7>
  30. +
  31. clkcounta<8>
  32. +
  33. clkcounta<9>
  34. +
  35. resetclk<0>
  36. +
  37. storecounta<13>
  38. +
  39. uartctr<0>
  40. +
  41. uartctr<1>
  42. +
  43. uartctr<2>
  44. +
  45. uartctr<3>
  46. +
  47. uartctr<4>
  48. +
  49. uartskip<0>
  50. +
+ + + +
+ +
+ + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB2.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB2.htm new file mode 100755 index 0000000..7dd6336 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB2.htm @@ -0,0 +1,253 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
(unused)0 MC1  (b)(b)
(unused)0 MC2 29I/O 
(unused)0 MC3  (b) 
(unused)0 MC4  (b) 
(unused)0 MC5 30I/O 
(unused)0 MC6 31I/O 
(unused)0 MC7  (b) 
clkcounta<9>5 8_1 8_2 8_3 8_4 8_5 +MC8STD32I/O(b)
clkcounta<8>5 9_1 9_2 9_3 9_4 9_5 +MC9STD33I/O/GSR(b)
clkcounta<7>5 10_1 10_2 10_3 10_4 10_5 +MC10STD (b)(b)
clkcounta<6>5 11_1 11_2 11_3 11_4 11_5 +MC11STD34I/O/GTS2(b)
clkcounta<5>5 12_1 12_2 12_3 12_4 12_5 +MC12STD (b)(b)
clkcounta<4>5 13_1 13_2 13_3 13_4 13_5 +MC13STD (b)(b)
clkcounta<3>5 14_1 14_2 14_3 14_4 14_5 +MC14STD36I/O/GTS1(b)
clkcounta<12>5 15_1 15_2 15_3 15_4 15_5 +MC15STD37I/O(b)
clkcounta<11>5 16_1 16_2 16_3 16_4 16_5 +MC16STD (b)(b)
clkcounta<10>5 17_1 17_2 17_3 17_4 17_5 +MC17STD38I/O(b)
storecounta<13>7 18_1 18_2 18_3 18_4 18_5 1_1 1_2 +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. HZIN
  2. +
  3. LED<6>
  4. +
  5. LED<7>
  6. +
  7. XSTALIN
  8. +
  9. alreadystoredcnt<0>
  10. +
  11. clkcounta<0>
  12. +
  13. clkcounta<10>
  14. +
  15. clkcounta<11>
  16. +
  17. clkcounta<12>
  18. +
  19. clkcounta<1>
  20. +
  21. clkcounta<2>
  22. +
  23. clkcounta<3>
  24. +
  25. clkcounta<4>
  26. +
  27. clkcounta<5>
  28. +
  29. clkcounta<6>
  30. +
  31. clkcounta<7>
  32. +
  33. clkcounta<8>
  34. +
  35. clkcounta<9>
  36. +
  37. resetclk<0>
  38. +
  39. storecounta<13>
  40. +
  41. storecounta<14>
  42. +
  43. uartskip<0>
  44. +
+ + + +
+ +    + +
+ +
+ + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB3.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB3.htm new file mode 100755 index 0000000..2bc5b3f --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB3.htm @@ -0,0 +1,260 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
alreadystoredcnt<0>3 1_1 1_2 2_5 +MC1STD (b)(b)
LED<7>4 2_1 2_2 2_3 2_4 +MC2STD5I/OO
uartskip<0>3 3_1 3_2 3_3 +MC3STD (b)(b)
clkcounta<0>3 4_1 4_2 4_3 +MC4STD (b)(b)
TX6 4_4 5_1 5_2 5_3 5_4 5_5 +MC5STD6I/OO
uartctr<4>4 6_1 6_2 6_3 6_4 +MC6STD (b)(b)
uartctr<3>4 7_1 7_2 7_3 7_4 +MC7STD (b)(b)
uartctr<2>4 8_1 8_2 8_3 8_4 +MC8STD7I/O(b)
uartctr<1>4 9_1 9_2 9_3 9_4 +MC9STD8I/O(b)
uartctr<0>4 10_1 10_2 10_3 9_2 +MC10STD (b)(b)
clkcounta<2>5 10_4 10_5 11_1 11_2 11_3 +MC11STD12I/O(b)
clkcounta<1>5 11_4 11_5 12_1 12_2 12_3 +MC12STD (b)(b)
storecounta<2>6 12_4 12_5 13_1 13_2 13_3 13_4 +MC13STD (b)(b)
storecounta<1>6 13_5 14_1 14_2 14_3 14_4 14_5 +MC14STD13I/O(b)
resetclk<0>2 15_1 15_2 +MC15STD14I/O(b)
storecounta<5>7 15_3 15_4 15_5 16_1 16_2 16_3 16_4 +MC16STD18I/O(b)
storecounta<4>7 16_5 17_1 17_2 17_3 17_4 17_5 18_5 +MC17STD16I/O(b)
storecounta<3>7 18_1 18_2 18_3 18_4 1_3 1_4 1_5 +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. HZIN
  2. +
  3. LED<6>
  4. +
  5. LED<7>
  6. +
  7. TX
  8. +
  9. XSTALIN
  10. +
  11. alreadystoredcnt<0>
  12. +
  13. clkcounta<0>
  14. +
  15. clkcounta<1>
  16. +
  17. clkcounta<2>
  18. +
  19. resetclk<0>
  20. +
  21. storecounta<1>
  22. +
  23. storecounta<2>
  24. +
  25. storecounta<3>
  26. +
  27. storecounta<4>
  28. +
  29. storecounta<5>
  30. +
  31. storecounta<6>
  32. +
  33. uartctr<0>
  34. +
  35. uartctr<1>
  36. +
  37. uartctr<2>
  38. +
  39. uartctr<3>
  40. +
  41. uartctr<4>
  42. +
  43. uartskip<0>
  44. +
+ + + +
+ +    + +
+ +
+ + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB4.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB4.htm new file mode 100755 index 0000000..b5020a5 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB4.htm @@ -0,0 +1,239 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
storecounta<14>7 1_1 1_2 1_3 2_1 2_2 2_3 2_4 +MC1STD (b)(b)
(unused)0 MC2 19I/O(b)
(unused)0 MC3  (b) 
(unused)0 MC4  (b) 
(unused)0 MC5 20I/OI
(unused)0 MC6  (b) 
(unused)0 MC7  (b) 
(unused)0 MC8 21I/OI
(unused)0 MC9  (b) 
(unused)0 MC10  (b) 
(unused)0 MC11 22I/O 
(unused)0 MC12  (b)(b)
storecounta<18>6 12_1 12_2 12_3 13_1 13_2 13_3 +MC13STD (b)(b)
storecounta<17>6 13_4 13_5 14_1 14_2 14_3 14_4 +MC14STD23I/O(b)
storecounta<16>6 14_5 15_1 15_2 15_3 15_4 15_5 +MC15STD27I/O(b)
(unused)0 MC16  (b)(b)
storecounta<6>7 16_1 16_2 17_1 17_2 17_3 17_4 17_5 +MC17STD28I/O(b)
storecounta<15>7 18_1 18_2 18_3 18_4 18_5 1_4 1_5 +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. HZIN
  2. +
  3. LED<0>
  4. +
  5. LED<6>
  6. +
  7. LED<7>
  8. +
  9. XSTALIN
  10. +
  11. alreadystoredcnt<0>
  12. +
  13. clkcounta<11>
  14. +
  15. clkcounta<12>
  16. +
  17. clkcounta<3>
  18. +
  19. resetclk<0>
  20. +
  21. storecounta<14>
  22. +
  23. storecounta<15>
  24. +
  25. storecounta<16>
  26. +
  27. storecounta<17>
  28. +
  29. storecounta<18>
  30. +
  31. storecounta<6>
  32. +
  33. uartskip<0>
  34. +
+ + + +
+ +
+ + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FBdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FBdoc.htm new file mode 100644 index 0000000..36e7c96 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FBdoc.htm @@ -0,0 +1,310 @@ + + + + + + + + + + + + + + + Function Block Specifics + + + + + + + + + + + +

+Function Block Specifics

+ +
To access specific details for a particular function +block, click on that function block in either the Mapped +Logic, Mapped Inputs, or Function +Blocks sections of the fitter report. The +function block details page displays a table of details about the particular +function block you selected, a view button you can click to show a graphical +display of the function block, and a pulldown menu you can use to select +other function blocks to see.
+ + +

The Table + +

The View +

+ +

+The Table

+The +table at the top of the function block details page provides the following +information about the function block: +
    +
  • +The +signal name 
  • +
+ +
Note: +Clicking on a signal name will open a new window with the equations for +that signal. 
+ +
    +
  • +The +total product terms used 
  • + +
  • + A +list of product terms
  • +
+ +
Note: +Clicking on a product +term will open a new window with the equations for that term. 
+ +
    +
  • +The +macrocell number in which the function block is located
  • +
+ +
Note: +Clicking on the underscored macrocell number will provide a graphical display +of the macrocell that looks like this:
+ + +

+. +

    +
  • +The +power mode
  • + +
  • +The +pin number - an asterisk "*" indicates a user assignment
  • +
+ +
    +
    Note: +Clicking on the underscored pin number will provide the pin layout diagram +for the highlighted pin. Rolling +your mouse over the colored pin will pop up a tooltip with the signal name +assigned to the pin, the I/O standard, the +I/O style, the slew rate, and/or any constraints assigned to the pin:
    +
+ +
+
+ +
    +
  • +The +pin type
  • + +
  • +The +pin use 
  • + +
  • +XPLA3 +only - The GCK (Global Clock Signal) mapping 
  • +
+ +
Note: +Moving your mouse cursor over an "I" in the Pin Use column will display +that input signal as a tooltip.
+ +
+
XBR +only - Below the resource table there is another table listing the +Function Block Control Term usage, the product term mapped to the +control term is listed. Clicking on the product term will bring up a pop-up +window displaying that product term. +
+
  • +CTC - control term clock
  • + +
  • +CTR - control term reset
  • + +
  • +CTS - control term set
  • + +
  • +CTE - control term output enable
  • +
    + +


    Below +this table you will find a list of signals used by logic in the function +block you are viewing. The +list displays output signals as links. Clicking +on an output signal link will open a new window showing the equations for +that signal. +
    + +

    Note:There +is also a  +button +below the table. Click +this button to open a new window describing all of the acronyms used in +the function block table. You +can select either brief descriptions or more detailed descriptions by clicking +the "Verbose" button at the top of the window. +

    +The View

    + +
    When you click on the  +button +above the table, a new window will open with a graphical display of the +function block you are examining. The +pins are all color-coded: input pins are green, output pins are blue, and +clocks are magenta:
    + + +

    + + +

    Right-click anywhere within the window to pull up a menu +that allows you to zoom in or out for easier viewing.  + +

    This menu also allows you choose to see all of the input +connections, all of the output connections, or both at once. Like +the pins, the signals are color-coded: inputs are red, outputs are yellow, +and macrocell connections are aqua: + +

    + + +

    To examine the signals of single pins, simply click the +pin whose signals you wish to see. To +examine multiple pins without having to see everything at once, hold down +the control key while you click the pins you want to view. + +

    To view the signals for individual macrocells: +

      +
      Click the inside edge of the macrocell to display its +macrocell connections and inputs.
      + + +

      Click the outer edge to display its output signals + +

      Click in the center to display everything + +

      Double click in the center to open a new window with a +detailed macrocell diagram

    + +
    + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbsdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbsdoc.htm new file mode 100644 index 0000000..04a25ff --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbsdoc.htm @@ -0,0 +1,103 @@ + + + + + + + + + + +Function Blocks + + + + + + + + + + + + + + + + + + + + + + + + +

    Function Blocks

    + +The Function + Blocks page provides a summary of all function blocks' resources. Clicking + on one of the function blocks in the summary table will display the specific details for that function block.   + +
      + + +
    The summary table + contains the following:   + +
      + +
    • The + function block
    • + +
    • The + number of macrocell used
    • + +
    • The + number of function block inputs used
    • + +
    • The + number of product terms used
    • + +
    • The + pins used
    • + +
    • The + local control terms used
    • + +
    • The + number of foldback NANDs used (CoolRunner only)
    • +
    + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbview.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbview.jpg new file mode 100644 index 0000000..7f43c68 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbview.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/functionblock.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/functionblock.gif new file mode 100755 index 0000000..524cdd4 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/functionblock.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/genmsg.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/genmsg.htm new file mode 100755 index 0000000..0146e6d --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/genmsg.htm @@ -0,0 +1,17 @@ + + + + + genmsg + + +  +
      +
    +
    +This file is currently being generated. Please recheck the link after some +time for this report data.
    +
    + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/header.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/header.gif new file mode 100755 index 0000000..526171b Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/header.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/home.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/home.gif new file mode 100755 index 0000000..d441184 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/home.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/index.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/index.htm new file mode 100644 index 0000000..3b23466 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/index.htm @@ -0,0 +1,14 @@ + + + + + + + + + + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleft.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleft.htm new file mode 100755 index 0000000..83dc5e0 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleft.htm @@ -0,0 +1,14 @@ + + + + + +

    Unmapped Inputs

    + + + +
    Signal NameUser Assignment
    + + +
    + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleft.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleft.js new file mode 100644 index 0000000..63fe42f --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleft.js @@ -0,0 +1 @@ +function showInputLeft() { parent.leftnav.showInputLeft(); } diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleftdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleftdoc.htm new file mode 100755 index 0000000..0cb5adb --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleftdoc.htm @@ -0,0 +1,81 @@ + + + + + + + + + + + + + + + Mapped Logic + + + + + + + + + + + +

    +Unmapped Inputs

    +The +Unmapped Inputs section provides a table listing all inputs that failed +to fit into the specified device. The page will appear in your browser +sorted by Signal Name.  +
    +
    The +Unmapped Inputs table contains the following:  +
      +
    • +The +input signal name 
    • +
    + +
      +
    • +The +Pin/FB Assignment specified by the user.
    • +
    + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/leftnav.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/leftnav.htm new file mode 100644 index 0000000..99d935f --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/leftnav.htm @@ -0,0 +1,63 @@ + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Fitter Report
     Summary
     Errors/Warnings
     Logic
     Inputs
     Function Blocks
     Equations
     Pin List
     Compiler Options
     Text Report
     Help
    +


    + + + + + +
    Equation Display Style
     
    +
      +
    + +
    + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/leftnav.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/leftnav.js new file mode 100644 index 0000000..bbc0028 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/leftnav.js @@ -0,0 +1,180 @@ +var noAppletOnClicked = 1; +var appletMsg = ""; +var waitWin; +var oldIn = oldOut = oldGbl = oldIsp = oldVcc = oldGnd = oldProhibit = oldUnuse = oldNc = 1; +var oldInfo = oldWarn = oldError = 1; +var verbose = 0; +var dispPage, mapLogPage, mapInPage, unLogPage, unInPage; +var javaPermission = 0; +var abelEqn = vhdlEqn = verEqn = ""; + +function IsNS() { + return ((navigator.appName.indexOf("Netscape") >= 0) && + (parseFloat(navigator.appVersion) >= 4)) ? true : false; +} + +function openWait() { + waitWin = window.open("wait.htm", "wait", + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=no,"+ + "resizable=no,width=300,height=50" ); +} + +function closeWait() { if (waitWin) waitWin.close(); } + +function popHTML(name, str) { + document.options.htmlStr.value = str; + if (name.indexOf(":") > -1) + name = name.substring(0,name.indexOf(":")) + "_COLON_" + + name.substring(name.indexOf(":")+1,name.length); + if (name.indexOf(".") > -1) + name = name.substring(0,name.indexOf(".")) + "_DOT_" + + name.substring(name.indexOf(".")+1,name.length); + var win = window.open("result.htm", "win_"+name, + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=yes,"+ + "resizable=yes,width=300,height=200" ); + win.focus(); +} + +function setAppletPermission() { appletPermission = 1; } +function getAppletPermission() { return( appletPermission); } +function getAppletMsg() { return(appletMsg); } +function setAppletMsg(msg) { appletMsg = msg; } + + +function showHTML(page, html) { + + dispPage = html; + document.options.currPage.value = page; + parent.content.location.href = html; +} + +function showTop() { showHTML(document.options.currPage.value, dispPage); } + +function setVerbose(value) { verbose = value; } + +function showLegend(url, w, h) { + if (verbose == 1) { + url = url.substring(0,name.indexOf(".htm")) + "V.htm"; + } + var win = window.open(url, 'win', + 'toolbar=no,location=no,directories=no,status=no,menubar=no,scrollbars=yes,resizable=yes,width='+w+',height='+h); + win.focus(); +} + +function showSummary() { showHTML("summary", "summary.htm"); } +function showOptions() { showHTML("options", "options.htm"); } +function showFBSum() { showHTML("fbs", "fbs.htm"); } +function showFB(fb) { showHTML("fbs_FB", "fbs_"+fb+".htm"); } +function showPinOut() { showHTML("pins", "pins.htm"); } +function showError() { showHTML("errors", "errs.htm"); } +function showFailTable() { showHTML("failtable", "failtable.htm"); } + +function showEqnAll() { + openWait(); + parent.eqns.setOper(currEqnType); + if (currEqnType == defEqnType) showHTML("equations", "defeqns.htm"); + else if (currEqnType == 0) { + if (abelEqn == "") abelEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = abelEqn; + showHTML("equations", "equations.htm"); + } + else if (currEqnType == 1) { + if (vhdlEqn == "") vhdlEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = vhdlEqn; + showHTML("equations", "equations.htm"); + } + else { + if (verEqn == "") verEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = verEqn; + showHTML("equations", "equations.htm"); + } + closeWait(); +} + +function showEqn(sig) { + popHTML(sig, parent.eqns.getEqn(sig)); +} + +function showPterm(pterm, type) { + popHTML(pterm, parent.eqns.getPterm(pterm, type)); +} + +function showAscii() { showHTML("ascii", "ascii.htm"); } + +function showHelp() { + var helpDoc = document.options.currPage.value + "doc.htm"; + popWin(helpDoc); +} + +function getMapParam(type) { + var paramStr = ""; + switch(type) { + case 1: paramStr += "10"; break; + case 2: paramStr += "01"; break; + case 3: paramStr += "11"; break; + case 4: paramStr += "02"; break; + case 5: paramStr += "12"; break; + default: paramStr += "00"; + } + + return paramStr; +} + +function showMappedLogics(type) { + showHTML("maplogic", "maplogic_" + getMapParam(type) + ".htm"); +} + +function showMappedInputs(type) { + showHTML("mapinput", "mapinput_" + getMapParam(type) + ".htm"); +} + +function showUnMappedLogics(type) { + showHTML("unmaplogic", "unmaplogic_" + getMapParam(type) + ".htm"); +} + +function showLogicLeft() { showHTML("logicleft", "logicleft.htm"); } + +function showUnMappedInputs(type) { + showHTML("unmapinput", "unmapinput_" + getMapParam(type) + ".htm"); +} + +function showInputLeft() { showHTML("inputleft", "inputleft.htm"); } + +function doEqnFormat() { + var type = document.options.eqnType.options[document.options.eqnType.options.selectedIndex].value; + currEqnType = type; + parent.eqns.setOper(currEqnType); + if (document.options.currPage.value == "equations") showEqnAll(); +} + +function showNoAppletAlert() { + window.alert("No Applet supported for this session!!!"); +} + +function showAppletMC(mc) { + if (parent.applets) parent.applets.showAppletGraphicMC(mc); + else showNoAppletAlert(); +} + +function showAppletFB(fb) { + if (parent.applets) parent.applets.showAppletGraphicFB(fb); + else showNoAppletAlert(); +} + +function showAppletPin(pin) { + if (parent.applets) parent.applets.showAppletGraphicPin(pin); + else showNoAppletAlert(); +} + +function printAppletPkg() { + if (parent.applets) parent.applets.printAppletPkg(); + else showNoAppletAlert(); +} + +function popWin(url) { + var win = window.open(url, 'win', + 'location=yes,directories=yes,menubar=yes,toolbar=yes,status=yes,scrollbars=yes,resizable=yes,width=800,height=600'); + win.focus(); +} diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/legend.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/legend.gif new file mode 100755 index 0000000..0aad0eb Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/legend.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/legend.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/legend.jpg new file mode 100755 index 0000000..1d04af0 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/legend.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXC95.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXC95.htm new file mode 100644 index 0000000..e253a0b --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXC95.htm @@ -0,0 +1,2 @@ +
    +
    diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXbr.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXbr.htm new file mode 100644 index 0000000..d256e25 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXbr.htm @@ -0,0 +1,16 @@ +
    +I/O Style - OD    - OpenDrain
    +          - PU    - Pullup
    +          - PN    - Pulldown
    +          - KPR   - Keeper
    +          - S     - SchmittTrigger
    +          - DG    - DataGate
    +Reg Use   - LATCH - Transparent latch
    +          - DFF   - D-flip-flop
    +          - DEFF  - D-flip-flop with clock enable
    +          - TFF   - T-flip-flop
    +          - TDFF  - Dual-edge-triggered T-flip-flop
    +          - DDFF  - Dual-edge-triggered flip-flop
    +          - DDEFF - Dual-edge-triggered flip-flop with clock enable
    +          /S (after any above flop/latch type) indicates initial state is Set
    +
    diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXpla3.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXpla3.htm new file mode 100644 index 0000000..c0e6f4a --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXpla3.htm @@ -0,0 +1,3 @@ +
    +Legend: PU  - Pull Up
    +
    diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleft.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleft.htm new file mode 100755 index 0000000..5da8011 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleft.htm @@ -0,0 +1,16 @@ + + + + + +

    Unmapped Logic

    + + + + + +
    Signal NameTotal PtermsTotal InputsUser Assignment
    + + +
    + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleft.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleft.js new file mode 100644 index 0000000..2fa4142 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleft.js @@ -0,0 +1 @@ +function showLogicLeft() { parent.leftnav.showLogicLeft(); } diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleftdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleftdoc.htm new file mode 100755 index 0000000..3537167 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleftdoc.htm @@ -0,0 +1,100 @@ + + + + + + + + + + + + + + + Mapped Logic + + + + + + + + + + + +

    +Unmapped Logic

    +The +Unmapped Logic section provides a table listing all logic that failed to +fit into the specified device. The page will appear in your browser sorted +by Signal Name.  +
    +
    The +Mapped Logic table contains the following:  +
      +
    • +The +signal name 
    • +
    + +
    Note: +Clicking on the signal name will open a new window with the equations for +that signal. 
    + +
      +
    • +The +total number of product terms 
    • + +
    • +The +total number of inputs 
    • + +
    • +The +I/O standard where appropriate
    • + +
    • +The +Pin/FB Assignment specified by the user.
    • +
    + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logiclegend.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logiclegend.htm new file mode 100755 index 0000000..7033b9e --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logiclegend.htm @@ -0,0 +1,106 @@ + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymBrief Description
     * User Assigned
     (b) Buried macrocell
     FB# Function Block number
     GCK# Global Clock number
     GTS# Global Output Enable number
     GSR Global Set/Reset
     I Input
     I/O Input/Output
     Latch Transparent latch
     LOW Low Power Mode
     MC# Macrocell number
     O Output
     OD Open Drain
     PU Pullup
     /S After any flop/latch type indicates initial state is Set
     STD Standard Power Mode
     TCK Test clock
     TDI Test data input
     TDO Test data output
     TFF Toggle Flip-Flop
     TMS Test mode select
    + + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logiclegendV.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logiclegendV.htm new file mode 100755 index 0000000..e1813d1 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logiclegendV.htm @@ -0,0 +1,106 @@ + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymVerbose Description
     * User Assigned
     (b) Buried macrocell
     FB# Function Block number
     GCK# Global Clock number
     GTS# Global Output Enable number
     GSR Global Set/Reset
     I Input
     I/O Input/Output
     Latch Transparent latch
     LOW Low Power Mode
     MC# Macrocell number
     O Output
     OD Open Drain
     PU Pullup
     /S After any flop/latch type indicates initial state is Set
     STD Standard Power Mode
     TCK One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. Test operations of the device are synchronous to TCK. Data is captured on the rising edge of TCK and outputs change on the falling edge of TCK. An internal pull-up forces TCK to a high level if left unconnected.
     TDI One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial input for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level if left unconnected.
     TDO One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial output for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level when it is not driven from an external source.
     TFF Toggle Flip-Flop
     TMS One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It directs the device through its Test Access Port controller states. An internal pull-up forces TDI to a high level when it is not driven from an external source. TMS also provides the optional test reset signal of IEEE Std 1149 or IEEE Std 1532.
    + + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/macrocell.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/macrocell.gif new file mode 100755 index 0000000..ec9e68e Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/macrocell.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_00.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_00.htm new file mode 100755 index 0000000..b18c3d7 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_00.htm @@ -0,0 +1,40 @@ + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    HZINFB4MC821I/OI
    XSTALINFB4MC520I/OI
    + + +
    + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_01.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_01.htm new file mode 100755 index 0000000..84b5826 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_01.htm @@ -0,0 +1,40 @@ + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    XSTALINFB4MC520I/OI
    HZINFB4MC821I/OI
    + + +
    + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_02.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_02.htm new file mode 100755 index 0000000..84b5826 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_02.htm @@ -0,0 +1,40 @@ + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    XSTALINFB4MC520I/OI
    HZINFB4MC821I/OI
    + + +
    + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinputdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinputdoc.htm new file mode 100644 index 0000000..3ac20d8 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinputdoc.htm @@ -0,0 +1,147 @@ + + + + + + + + + + + + + + + Mapped Inputs + + + + + + + + + + + +

    +Mapped Inputs

    +Like +the Mapped Logic section, the Mapped Inputs +section of the report displays a table detailing the resources allocated +by the fitter to mapped inputs. Again, +the table can be sorted by Signal Name, Function Block, or Pin Number by +clicking on the appropriate table headings.  +
    +
    The +inputs table contains the following:  +
      +
    • +The +input signal name 
    • + +
    • +The +function block number - an asterisk "*" indicates a user assignment
    • +
    + +
    Note: +Clicking on the function block will provide a detailed table of all the +block's resources and a graphical display of the function block diagram +(see Function Block Specifics for more details).
    + +
      +
    • +The +macrocell number
    • +
    + +
      +
      Note: +Clicking on the underscored macrocell number will provide a graphical display +of the macrocell that looks like this:
      + +
      +
      + +
        + +
    • +The +pin number - an asterisk "*" indicates a user assignment
    • +
    + +
    Note: +Clicking on the underscored pin number will provide the pin layout diagram +for the highlighted pin. Rolling +your mouse over the colored pin will pop up a tooltip with the signal name +assigned to the pin, the I/O standard, the +I/O style, the slew rate, and/or any constraints assigned to the pin:
    + + +

    + +

      + +
      +
    • +The +pin type
    • + +
    • +The +pin use 
    • + +
    • +The +I/O standard
    • + +
    • +The +I/O style
    • +
    + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic.js new file mode 100644 index 0000000..e40c8f2 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic.js @@ -0,0 +1,23 @@ +function showFB(fb) { parent.leftnav.showFB(fb); } +function showMC(mc) { parent.leftnav.showAppletMC(mc); } +function showEqn(sig) { parent.leftnav.showEqn(sig); } +function showPin(pin) { parent.leftnav.showAppletPin(pin); } +function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); } +function showTop() { parent.leftnav.showTop(); } + +function Sort(x) { + switch (x) { + case 0: parent.leftnav.showMappedLogics(0); break; + case 1: parent.leftnav.showMappedLogics(2); break; + case 2: parent.leftnav.showMappedLogics(4); break; + case 10: parent.leftnav.showMappedInputs(0); break; + case 11: parent.leftnav.showMappedInputs(2); break; + case 12: parent.leftnav.showMappedInputs(4); break; + case 20: parent.leftnav.showUnMappedLogics(0); break; + case 21: parent.leftnav.showUnMappedLogics(2); break; + case 22: parent.leftnav.showUnMappedLogics(4); break; + case 30: parent.leftnav.showUnMappedInputs(0); break; + case 31: parent.leftnav.showUnMappedInputs(2); break; + case 32: parent.leftnav.showUnMappedInputs(4); break; + } +} diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_00.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_00.htm new file mode 100755 index 0000000..50d0e21 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_00.htm @@ -0,0 +1,575 @@ + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    LED<0>710FB1MC6STDFAST41I/OORESET
    LED<1>710FB1MC8STDFAST42I/OORESET
    LED<2>710FB1MC9STDFAST43I/O/GCK1ORESET
    LED<3>710FB1MC11STDFAST44I/O/GCK2ORESET
    LED<4>710FB1MC14STDFAST1I/O/GCK3ORESET
    LED<5>710FB1MC15STDFAST2I/OORESET
    LED<6>512FB1MC17STDFAST3I/OORESET
    LED<7>412FB3MC2STDFAST5I/OORESET
    TX69FB3MC5STDFAST6I/OORESET
    alreadystoredcnt<0>37FB3MC1STD  (b)(b)RESET
    clkcounta<0>35FB3MC4STD  (b)(b)RESET
    clkcounta<10>515FB2MC17STD 38I/O(b)RESET
    clkcounta<11>516FB2MC16STD  (b)(b)RESET
    clkcounta<12>517FB2MC15STD 37I/O(b)RESET
    clkcounta<1>56FB3MC12STD  (b)(b)RESET
    clkcounta<2>57FB3MC11STD 12I/O(b)RESET
    clkcounta<3>58FB2MC14STD 36I/O/GTS1(b)RESET
    clkcounta<4>59FB2MC13STD  (b)(b)RESET
    clkcounta<5>510FB2MC12STD  (b)(b)RESET
    clkcounta<6>511FB2MC11STD 34I/O/GTS2(b)RESET
    clkcounta<7>512FB2MC10STD  (b)(b)RESET
    clkcounta<8>513FB2MC9STD 33I/O/GSR(b)RESET
    clkcounta<9>514FB2MC8STD 32I/O(b)RESET
    resetclk<0>23FB3MC15STD 14I/O(b)RESET
    storecounta<13>710FB2MC18STD  (b)(b)RESET
    storecounta<14>710FB4MC1STD  (b)(b)RESET
    storecounta<15>710FB4MC18STD  (b)(b)RESET
    storecounta<16>69FB4MC15STD 27I/O(b)RESET
    storecounta<17>69FB4MC14STD 23I/O(b)RESET
    storecounta<18>68FB4MC13STD  (b)(b)RESET
    storecounta<1>69FB3MC14STD 13I/O(b)RESET
    storecounta<2>69FB3MC13STD  (b)(b)RESET
    storecounta<3>710FB3MC18STD  (b)(b)RESET
    storecounta<4>710FB3MC17STD 16I/O(b)RESET
    storecounta<5>710FB3MC16STD 18I/O(b)RESET
    storecounta<6>710FB4MC17STD 28I/O(b)RESET
    uartctr<0>412FB3MC10STD  (b)(b)RESET
    uartctr<1>412FB3MC9STD 8I/O(b)RESET
    uartctr<2>412FB3MC8STD 7I/O(b)RESET
    uartctr<3>412FB3MC7STD  (b)(b)RESET
    uartctr<4>412FB3MC6STD  (b)(b)RESET
    uartskip<0>37FB3MC3STD  (b)(b)RESET
    + + +
    + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_01.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_01.htm new file mode 100755 index 0000000..67fc45b --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_01.htm @@ -0,0 +1,575 @@ + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    LED<0>710FB1MC6STDFAST41I/OORESET
    LED<1>710FB1MC8STDFAST42I/OORESET
    LED<2>710FB1MC9STDFAST43I/O/GCK1ORESET
    LED<3>710FB1MC11STDFAST44I/O/GCK2ORESET
    LED<4>710FB1MC14STDFAST1I/O/GCK3ORESET
    LED<5>710FB1MC15STDFAST2I/OORESET
    LED<6>512FB1MC17STDFAST3I/OORESET
    clkcounta<9>514FB2MC8STD 32I/O(b)RESET
    clkcounta<8>513FB2MC9STD 33I/O/GSR(b)RESET
    clkcounta<7>512FB2MC10STD  (b)(b)RESET
    clkcounta<6>511FB2MC11STD 34I/O/GTS2(b)RESET
    clkcounta<5>510FB2MC12STD  (b)(b)RESET
    clkcounta<4>59FB2MC13STD  (b)(b)RESET
    clkcounta<3>58FB2MC14STD 36I/O/GTS1(b)RESET
    clkcounta<12>517FB2MC15STD 37I/O(b)RESET
    clkcounta<11>516FB2MC16STD  (b)(b)RESET
    clkcounta<10>515FB2MC17STD 38I/O(b)RESET
    storecounta<13>710FB2MC18STD  (b)(b)RESET
    alreadystoredcnt<0>37FB3MC1STD  (b)(b)RESET
    LED<7>412FB3MC2STDFAST5I/OORESET
    uartskip<0>37FB3MC3STD  (b)(b)RESET
    clkcounta<0>35FB3MC4STD  (b)(b)RESET
    TX69FB3MC5STDFAST6I/OORESET
    uartctr<4>412FB3MC6STD  (b)(b)RESET
    uartctr<3>412FB3MC7STD  (b)(b)RESET
    uartctr<2>412FB3MC8STD 7I/O(b)RESET
    uartctr<1>412FB3MC9STD 8I/O(b)RESET
    uartctr<0>412FB3MC10STD  (b)(b)RESET
    clkcounta<2>57FB3MC11STD 12I/O(b)RESET
    clkcounta<1>56FB3MC12STD  (b)(b)RESET
    storecounta<2>69FB3MC13STD  (b)(b)RESET
    storecounta<1>69FB3MC14STD 13I/O(b)RESET
    resetclk<0>23FB3MC15STD 14I/O(b)RESET
    storecounta<5>710FB3MC16STD 18I/O(b)RESET
    storecounta<4>710FB3MC17STD 16I/O(b)RESET
    storecounta<3>710FB3MC18STD  (b)(b)RESET
    storecounta<14>710FB4MC1STD  (b)(b)RESET
    storecounta<18>68FB4MC13STD  (b)(b)RESET
    storecounta<17>69FB4MC14STD 23I/O(b)RESET
    storecounta<16>69FB4MC15STD 27I/O(b)RESET
    storecounta<6>710FB4MC17STD 28I/O(b)RESET
    storecounta<15>710FB4MC18STD  (b)(b)RESET
    + + +
    + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_02.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_02.htm new file mode 100755 index 0000000..e9ab4f2 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_02.htm @@ -0,0 +1,626 @@ + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    LED<4>710FB1MC14STDFAST1I/O/GCK3ORESET
    LED<5>710FB1MC15STDFAST2I/OORESET
    LED<6>512FB1MC17STDFAST3I/OORESET
    LED<7>412FB3MC2STDFAST5I/OORESET
    TX69FB3MC5STDFAST6I/OORESET
    uartctr<2>412FB3MC8STD 7I/O(b)RESET
    uartctr<1>412FB3MC9STD 8I/O(b)RESET
    clkcounta<2>57FB3MC11STD 12I/O(b)RESET
    storecounta<1>69FB3MC14STD 13I/O(b)RESET
    resetclk<0>23FB3MC15STD 14I/O(b)RESET
    storecounta<4>710FB3MC17STD 16I/O(b)RESET
    storecounta<5>710FB3MC16STD 18I/O(b)RESET
    storecounta<17>69FB4MC14STD 23I/O(b)RESET
    storecounta<16>69FB4MC15STD 27I/O(b)RESET
    storecounta<6>710FB4MC17STD 28I/O(b)RESET
    clkcounta<9>514FB2MC8STD 32I/O(b)RESET
    clkcounta<8>513FB2MC9STD 33I/O/GSR(b)RESET
    clkcounta<6>511FB2MC11STD 34I/O/GTS2(b)RESET
    clkcounta<3>58FB2MC14STD 36I/O/GTS1(b)RESET
    clkcounta<12>517FB2MC15STD 37I/O(b)RESET
    clkcounta<10>515FB2MC17STD 38I/O(b)RESET
    LED<0>710FB1MC6STDFAST41I/OORESET
    LED<1>710FB1MC8STDFAST42I/OORESET
    LED<2>710FB1MC9STDFAST43I/O/GCK1ORESET
    LED<3>710FB1MC11STDFAST44I/O/GCK2ORESET
    clkcounta<7>512FB2MC10STD  (b)(b)T  RESET
    clkcounta<5>510FB2MC12STD  (b)(b)T  RESET
    clkcounta<4>59FB2MC13STD  (b)(b)T  RESET
    clkcounta<11>516FB2MC16STD  (b)(b)T  RESET
    storecounta<13>710FB2MC18STD  (b)(b)D  RESET
    alreadystoredcnt<0>37FB3MC1STD  (b)(b)D  RESET
    uartskip<0>37FB3MC3STD  (b)(b)T  RESET
    clkcounta<0>35FB3MC4STD  (b)(b)D  RESET
    uartctr<4>412FB3MC6STD  (b)(b)T  RESET
    uartctr<3>412FB3MC7STD  (b)(b)T  RESET
    uartctr<0>412FB3MC10STD  (b)(b)T  RESET
    clkcounta<1>56FB3MC12STD  (b)(b)D  RESET
    storecounta<2>69FB3MC13STD  (b)(b)D  RESET
    storecounta<3>710FB3MC18STD  (b)(b)D  RESET
    storecounta<14>710FB4MC1STD  (b)(b)D  RESET
    storecounta<18>68FB4MC13STD  (b)(b)D  RESET
    storecounta<15>710FB4MC18STD  (b)(b)D  RESET
    + + +
    + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogicdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogicdoc.htm new file mode 100644 index 0000000..75e0468 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogicdoc.htm @@ -0,0 +1,167 @@ + + + + + + + + + + + + + + + Mapped Logic + + + + + + + + + + + +

    +Mapped Logic

    +The +Mapped Logic section provides a table listing resources allocated by the +fitter to mapped logic. The page will appear in your browser sorted by +Signal Name, but you can choose to sort it by Signal Name, Function Block, +and Pin Number by clicking on the appropriate table headers.  +
    +
    The +Mapped Logic table contains the following:  +
      +
    • +The +output signal name 
    • +
    + +
    Note: +Clicking on the signal name will open a new window with the equations for +that signal. 
    + +
      +
    • +The +total number of product terms 
    • + +
    • +The +number of signals used 
    • + +
    • +The +function block number - an asterisk "*" indicates a user assignment 
    • +
    + +
    Note: +Clicking on the function block will provide a detailed table of all the +block's resources and a graphical display of the function block diagram +(see Function Block Specifics for more details).
    + +
      +
    • +The +macrocell number
    • +
    + +
    Note: +Clicking on the underscored macrocell number will provide a graphical display +of the macrocell that looks like this:
    + + +

    +. +

      +
    • +The +slew rate
    • + +
    • +The +pin number - an asterisk "*" indicates a user assignment 
    • +
    + +
    Note: +Clicking on the underscored pin number will provide the pin layout diagram +for the highlighted pin. Rolling +your mouse over the colored pin will pop up a tooltip with the signal name +assigned to the pin, the I/O standard, the +I/O style, the slew rate, and/or any constraints assigned to the pin:
    + + +

    + +

      +
    • +The +pin type
    • + +
    • +The +pin use
    • + +
    • +The +input register use
    • + +
    • +The +I/O standard
    • + +
    • +The +I/O style
    • +
    + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/newappletref.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/newappletref.htm new file mode 100755 index 0000000..37f3d7f --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/newappletref.htm @@ -0,0 +1,14 @@ + + + + + + + + + + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/next.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/next.jpg new file mode 100755 index 0000000..b8bbb99 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/next.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ns4plugin.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ns4plugin.js new file mode 100755 index 0000000..0292ee1 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ns4plugin.js @@ -0,0 +1,55 @@ + +function checkJre(){ + +var agt=navigator.userAgent.toLowerCase(); +var is_major = parseInt(navigator.appVersion); + + +var is_nav = ((agt.indexOf('mozilla')!=-1) && (agt.indexOf('spoofer')== -1) +&& (agt.indexOf('compatible') == -1) && (agt.indexOf('opera')== -1) +&& (agt.indexOf('webtv')==-1) && (agt.indexOf('hotjava')== -1)); +var is_nav4up = (is_nav && (is_major >= 4)); + +var pluginDetected = false; + +// we can check for plugin existence only when browser is 'is_ie5up' or 'is_nav4up' +if(is_nav4up) { + + // Refresh 'navigator.plugins' to get newly installed plugins. + // Use 'navigator.plugins.refresh(false)' to refresh plugins + // without refreshing open documents (browser windows) + if(navigator.plugins) { + navigator.plugins.refresh(false); + } + + // check for Java plugin in installed plugins + if(navigator.mimeTypes) { + // window.alert( navigator.mimeTypes.length); + for (i=0; i < navigator.mimeTypes.length; i++) { + // window.alert( navigator.mimeTypes[i].type); + if( (navigator.mimeTypes[ i].type != null) + &&(navigator.mimeTypes[ i].type.indexOf( + "application/x-java-applet;jpi-version=1.4") != -1) ) { + //window.alert("Found"); + pluginDetected = true; + break; + } + + } + } + +} + +if (pluginDetected) { + // show applet page + document.location.href="appletref.htm"; + +} else if (confirm("Java Plugin 1.4+ not found, Do you want to download it?\n" + + "if you choose not to install the plugin the reports graphical applets will not be available.")) { + document.location.href=XilinxD; +} else { + document.location.href="appletref.htm"; +} + +} + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/options.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/options.htm new file mode 100755 index 0000000..99a1544 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/options.htm @@ -0,0 +1,106 @@ + + + + + + +

    Compiler Options

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    OptionValue
     Device(s) Specified + xc9572xl-5-VQ44
     Optimization Method + SPEED
     Multi-Level Logic Optimization + ON
     Ignore Timing Specifications + OFF
     Default Register Power Up Value + LOW
     Slew Rate + FAST
     Keep User Location Constraints + ON
     What-You-See-Is-What-You-Get + OFF
     Exhaustive Fitting + OFF
     Keep Unused Inputs + OFF
     Power Mode + STD
     Ground on Unused IOs + OFF
     Global Clock Optimization + ON
     Global Set/Reset Optimization + ON
     Global Ouput Enable Optimization + ON
     Set I/O Pin Termination + KEEPER
     Input Limit (2-54) 54
     Pterm Limit (1-90) 25
    + + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/optionsdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/optionsdoc.htm new file mode 100644 index 0000000..f1ee543 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/optionsdoc.htm @@ -0,0 +1,760 @@ + + + + + + + + + + +Compiler Options + + + + + + + + + + + + + + + + + + + + + + + + +

    Compiler Options

    + +

    The Compiler + Options page provides all the fitter options settings for the device family + the fitter has selected.

    + +

    Fitter Options

    + +

    Basic Tab

    + +

    XPLA3 Advanced + Options

    + +

    CoolRunner-II + Advanced Options

    + +

    XC9500/XL/XV + Advanced Options

    + +

    Basic + Tab

    + +

    The CPLD devices + have the following fitter Implementation + Options available in + the Basic tab:

    + +
      + +
    • Use + Multi-Level Logic Optimization

    • +
    + +

    This option simplifies the total number + of logic expressions in a design, and then collapses the logic in order + to meet user objectives such as density, speed and timing constraints. + This optimization targets CPLD architecture, making it possible to collapse + to the macrocell limits, reduce levels of logic, and minimize the total + number of p-terms.

    + +

    Multi-level Logic Optimization optimizes + all combinatorial logic arcs spanning from an input pad or register output + to an output pad or register input.

    + +

    Multi-level Logic Optimization operates + on combinatorial logic according to the following rules.

    + +

    If timing constraints are set, the program + optimizes for speed to meet timing constraints.

    + +

    If timing constraints are not set, the + program optimizes either for speed or density, depending on the user setting + for the Use Timing Optimization + option.

    + +
      + +
        + +
          + +
        • If + Use Timing Optimization is turned + on, the combinatorial logic will be mapped for speed.

        • + +
        • If + Use Timing Optimization is turned + off, the combinatorial logic will be mapped for density. The goal of optimization + will then be to reduce the total number of p-terms.

        • +
        +
      +
    + +

    Logic + marked with the NOREDUCE property will not be extracted or optimized.

    + +

    By + default, this option is on.

    + +
      + +
    • Use Timing Constraints -- This + option instructs the fitter use Timing Constraints when fitting the design. +  If this + box is not checked, the fitter will ignore timing constraints, if necessary.

    • + +
    • Enable WYSIWYG + Mode -- (CoolRunner only) The + goal of the WYSIWYG options is to have a netlist reflect the user's specifications, + as much as possible. All the nodes declared in the HDL design are preserved. + By default, this property is set to Off (Checkbox is not checked) When + this property is On (checkbox is checked), XST:

    • + +
        + +
      • Preserves + all the user internal signals (nodes)

      • + +
      • Creates + source_node constraints in NGC file for all these nodes.

      • + +
      • Skips + the design optimization (collapse, factorization). Only the Boolean equation + minimization is performed.

      • +
      + +
    • Optimization Style-- The Optimization + Method allows you to select from one of two basic optimization strategies: + Density or Speed. + Density focuses on solely + on density, and Speed focuses + solely on speed.

    • + +
    • Location Constraints -- The Try selection + will attempt to fit the design with the pin assignments specified in the + design source. If the design cannot be fit with these pin assignments, + the fitter will remove the location constraints and attempt to fit the + design with no location constraints. A warning message will tell the user + if the location constraints have been removed.

    • + +
        + +
      • The + Try selection will attempt + to fit the design with the pin assignments specified in the design source. + If the design cannot be fit with these pin assignments, the fitter will + ignore the pin assignments.

      • +
      + +
    • The + On selection will attempt to fit + the design with the pin assignments specified in the design source. If + the design cannot be fit with these pin assignments, the fitter will notify + the user that the device could not fit. It will not unlock the pins under + this option.

    • + +
    • The + Off selection will attempt to + fit the design and will ignore the pin assignments specified in the design + source. If the design can be fit with no pre-assigned pins, the fitter + will assign pins, which can be viewed in the fitter report (filename.fit). + The user should take these pin assignments and incorporate them back into + the design source file. The user will be notified whether the fitting + operation was successful.

    • + +
    • Output + Slew Rate -- Use this option + to control the default output slew rate. You can control the transition + time of device output pins by setting the slew rate to Slow or Fast. Limiting + the slew rate (Slow) reduces output switching surges in the device. The + default is Fast.

    • +
    + +

    Note: + Any explicit slew rate control properties in the design or constraints + file take precedence over this Output Slew Rate setting.

    + +
      + +
    • FF Initial State -- Sets + the initial state for all Flip-Flops.  The + options are Low, High and FPGA.

    • + +
    • Collapsing + P-Term Limit -- This option + controls the degree to which the fitter flattens a design netlist. A logic + gate can collapse forward into a subsequent gate only if the number of + product terms in the resulting logic function does not exceed the p-term + limit. If the path delay of a logic function is not acceptable, increase + the p-term limit to allow the larger functions to be further flattened. + Choose a number from 3 to 48.

    • + +
    • Collapsing + Input Limit -- This is a + secondary option for controlling the degree to which the fitter flattens + a design netlist. A logic gate can collapse forward into a subsequent + gate only if the number of inputs in the resulting logic function does + not exceed the input limit. If the design fails to fit the target device + because flattening uses up too many of the function block inputs, decrease + the input limit to prevent flattening of certain high fan-in functions. +  

    • +
    + +

    XPLA Advanced + Options

    + +

    The + following options are available under XPLA Implementation + Options, Advanced tab.

    + +
      + +
    • Enable Fast + Input Registers -- Enables the use + of the Fast Input path in XPLA3 devices.

    • + +
    • Enable Use + of Foldback NANDs -- When selected, + the software will use foldback NANDs. This increases the capability to + fit a design, sometimes at the expense of speed.

    • + +
    • Reserve JTAG Pins for ISP -- Checking + this box will instruct the fitter to reserve JTAG pins.

    • +
    + +

    CoolRunner-II + Advanced Options

    + +

    The following + options are found under the Advanced tab for CoolRunner-II devices.

    + +
      + +
    • Use + Global Clock(s) -- Select this option + to allow the fitter to assign input pins used as clocks to dedicated global + clock (GCK) pins of the device. If this option is disabled, only pins + identified with the BUFG=CLK property in the design (or UCF file) will + be assigned to GCK device pins. By default, this option is on. +

    • + +
    • Use Global Output Enable(s) -- Select + this option to allow the fitter to assign input pins used as output enable + control to dedicated global OE (GTS) pins of the device. If this option + is disabled, only pins identified with the BUFG=OE property in the design + (or UCF file) will be assigned to GTS device pins. By default, this option + is on.

    • + +
    • Use Global Set/Reset -- Select this + option to allow the fitter to assign input pins used as register asynchronous + reset or preset control to the dedicated global set/reset (GSR) pin of + the device. If this option is disabled, only a pin identified with the + BUFG=SR property in the design (or UCF file) will be assigned to the GSR + device pin. By default, this option is on.

    • + +
    • Enable Fast Input Registers -- Enables + fast input registers.

    • + +
    • Ignore DATA_GATE Attributes -- Data + Gate is a power saving property that can be used in CoolRunner-II designs. +  This option + allows you to turn Data Gate off in case you want the fitter to ignore + data gate.

    • + +
    • Tristate Outputs Termination Node -- + The Tristate Output Termination Mode globally sets all tristate outputs + to the specified termination mode. By default, this field is set to Pullup.. +  The options + are Pullup, Keeper and Float.

    • + +
    • Create Programmable Ground Pins on Unused I/O + -- The Create Programmable GND Pins on Unused I/O property controls the + option to indicate that you want all unused I/O pads to be configured + as ground pins. This can reduce ground bounce. By default, this option + is set to ground.  The + options are Ground, Pullup, Keeper and Float.

    • + +
    • +

      Default + Output Voltage Standard -- set a default voltage standard for CoolRunner-II + device pins.

      + +

      IOSTANDARD + names supported by CoolRunner-II are:

      + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
      +

      I/O Standard

      +

      VCCIO

      +

      Input VREF

      +

      Board Termination Voltage (VTT)

      +

      LVTTL

      +

      3.3V

      +

      N/A

      +

      N/A

      +

      LVCMOS33

      +

      3.3V

      +

      N/A

      +

      N/A

      +

      LVCMOS25

      +

      2.5V

      +

      N/A

      +

      N/A

      +

      LVCMOS18

      +

      1.8V

      +

      N/A

      +

      N/A

      +

      LVCMOS15

      +

      1.5V

      +

      N/A

      +

      N/A

      +

      HSTL_I

      +

      1.5V

      +

      0.75V

      +

      0.75V

      +

      SSTL2_I

      +

      2.5V

      +

      1.25V

      +

      1.25V

      +

      SSTL3_I

      +

      3.3V

      +

      1.5V

      +

      1.5V

      + +

      The software + automatically groups outputs with similar IOSTANDARD settings into the + same bank when no location constraints are specified.

      +
    • +
    + +

    XC9500/XL/XV + Advanced Options

    + +

    The following + options are found under the Advanced tab for XC9500/XL/XV.  Note + that additional options for XC9500 only are also described below.

    + +
      + +
    • Use + Global Clock(s) -- Select this option + to allow the fitter to assign input pins used as clocks to dedicated global + clock (GCK) pins of the device. If this option is disabled, only pins + identified with the BUFG=CLK property in the design (or UCF file) will + be assigned to GCK device pins. By default, this option is on. +

    • + +
    • Use Global Output Enable(s) -- Select + this option to allow the fitter to assign input pins used as output enable + control to dedicated global OE (GTS) pins of the device. If this option + is disabled, only pins identified with the BUFG=OE property in the design + (or UCF file) will be assigned to GTS device pins. By default, this option + is on.

    • + +
    • Use Global Set/Reset -- Select this + option to allow the fitter to assign input pins used as register asynchronous + reset or preset control to the dedicated global set/reset (GSR) pin of + the device. If this option is disabled, only a pin identified with the + BUFG=SR property in the design (or UCF file) will be assigned to the GSR + device pin. By default, this option is on.

    • + +
    • Create Programmable Ground Pins on Unused I/O + -- Select this option to indicate that you want all unused I/O pads to + be configured as ground pins. This can reduce ground bounce. By default, + this option is off.

    • + +
    • Macrocell Power Setting -- Use this + option to control device power consumption. Select Low or Standard to + set the default power mode for the macrocells used to implement the design. + Select Timing Driven to automatically reduce power on paths covered by + timing specifications that can meet speed requirements while operating + in low power. The default is Standard, which results in highest speed.

    • +
    + +

    Note: Any explicit power control (PWR_MODE) + properties in the design or constraints file take precedence over this + Macrocell Power Setting.

    + +
      + +
    • Enable FASTConnect/UIM Optimization (XC9500 + only) -- Enables optimization of the FASTConnect/UIM for XC9500 + devices.

    • + +
    • Use + Local Feedback (XC9500 only)

    • +
    + +

    Select this option to enable the software + to use local macrocell feedback whenever possible. The local feedback + path, running from each macrocell output to an input of the same function + block, has shorter propagation delay than the global feedback path. The + fitter always tries to use local macrocell feedback (if possible) to satisfy + timing constraints. This option allows the fitter to use local feedback + to generally improve timing on remaining paths. Using local feedback can + speed up your design but could also make it difficult to maintain the + same timing after a design change. By default, this option is on.

    + + + +
    + + +

    Note: + To force the fitter to use local feedback, manually map both + the source and load functions into the same function block using the property + LOC=FBnn, + then apply a timespec across the path. 

    + + + +
    + + +

    Note: + The XC9536 device does not have local feedback.

    + + + +
    + + +
      + +
    • Use + Pin Feedback (XC9500 only)

    • +
    + +

    Select this option to enable the software + to use I/O pin feedback whenever possible. The pin feedback path has slightly + shorter propagation delay than the global feedback path. If this option + is enabled, the software uses the pin feedback path instead of the global + feedback path for macrocell signals that do not drive 3-state outputs + or slew-rate-limited outputs, and where the associated I/O pin is not + used as input-only. By default, this option is on.

    + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/paths.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/paths.js new file mode 100644 index 0000000..ff4aa89 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/paths.js @@ -0,0 +1,39 @@ +rootURL = "http://www.xilinx.com/"; +prodURL = "xlnx/xil_prodcat_product.jsp?title="; +cpldURL = "CPLD+Products"; +acr2URL = "coolrunner2_page"; +xbrURL = "coolrunner2_page"; +xpla3URL = "xpla3_page"; +xc9500URL = "xc9500_page"; +xc9500xlURL = "xc9500xl_page"; +xa9500xlURL = "xc9500xl_page"; +xc9500xvURL = "xc9500xv_page"; +marketURL= "esp"; +supportURL = "http://www.support.xilinx.com/"; +educationURL = "support/education-home.htm"; +buyURL = "http://toolbox.xilinx.com/cgi-bin/xilinx.storefront"; +contactURL = "company/contact.htm"; +searchURL = "company/search.htm"; + +docURL = rootURL + "support/documentation/"; +doc95URL = docURL + "xc9500.htm"; +doc95xlURL = docURL + "xc9500xl.htm"; +docA95xlURL = docURL + "xc9500xl.htm"; +doc95xvURL = docURL + "xc9500xv.htm"; +docXpla3URL = docURL + "coolrunner_xpla3.htm"; +docAcr2URL = docURL + "coolrunner-ii.htm"; +docXbrURL = docURL + "coolrunner-ii.htm"; +docCr2sURL = docURL + "coolrunner-ii.htm"; + +var messages = new Array(); +messages["fastinreg"] = "Direct Input Register"; +messages["inreg"] = "Direct Input Register"; +messages["fbnand"] = "Foldback NAND"; +messages["fcnode"] = "FC node"; +messages["LATCH"] = "Transparent latch"; +messages["DFF"] = "D-flip-flop"; +messages["DEFF"] = "D-flip-flop with clock enable"; +messages["TFF"] = "T-flip-flop"; +messages["TDFF"] = "Dual-edge-triggered T-flip-flop"; +messages["DDFF"] = "Dual-edge-triggered flip-flop"; +messages["DDEFF"] = "Dual-edge-triggered flip-flop with clock enable"; diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin.gif new file mode 100755 index 0000000..f110f3d Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin_legXC95.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin_legXC95.htm new file mode 100644 index 0000000..db88bcb --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin_legXC95.htm @@ -0,0 +1,13 @@ +
    +Legend :  NC  = Not Connected, unbonded pin
    +         PGND = Unused I/O configured as additional Ground pin
    +         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
    +         VCC  = Dedicated Power Pin
    +         GND  = Dedicated Ground Pin
    +         TDI  = Test Data In, JTAG pin
    +         TDO  = Test Data Out, JTAG pin
    +         TCK  = Test Clock, JTAG pin
    +         TMS  = Test Mode Select, JTAG pin
    +         PE   = Port Enable pin
    +  PROHIBITED  = User reserved pin
    +
    diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin_legXbr.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin_legXbr.htm new file mode 100644 index 0000000..00a6d2c --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin_legXbr.htm @@ -0,0 +1,21 @@ +
    +Legend :  NC  = Not Connected, unbonded pin
    +        PGND  = Unused I/O configured as additional Ground pin
    +         KPR  = Unused I/O with weak keeper (leave unconnected)
    +         WPU  = Unused I/O with weak pull up (leave unconnected)
    +         WPD  = Unused I/O with weak pull down (leave unconnected)
    +         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
    +         VCC  = Dedicated Power Pin
    +      VCCAUX  = Power supply for JTAG pins
    +   VCCIO-3.3  = I/O supply voltage for LVTTL, LVCMOS33, SSTL3_I
    +   VCCIO-2.5  = I/O supply voltage for LVCMOS25, SSTL2_I
    +   VCCIO-1.8  = I/O supply voltage for LVCMOS18
    +   VCCIO-1.5  = I/O supply voltage for LVCMOS15, HSTL_I
    +        VREF  = Reference voltage for indicated input standard
    +         GND  = Dedicated Ground Pin
    +         TDI  = Test Data In, JTAG pin
    +         TDO  = Test Data Out, JTAG pin
    +         TCK  = Test Clock, JTAG pin
    +         TMS  = Test Mode Select, JTAG pin
    +  PROHIBITED  = User reserved pin
    +
    diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin_legXpla3.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin_legXpla3.htm new file mode 100644 index 0000000..8dad55d --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pin_legXpla3.htm @@ -0,0 +1,13 @@ +
    +Legend :  NC  = Not Connected, unbonded pin
    +          PE  = Port Enable pin
    +         WPU  = Unused with Internal Weak Pull Up
    +         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
    +         VCC  = Dedicated Power Pin
    +         GND  = Dedicated Ground Pin
    +         TDI  = Test Data In, JTAG pin
    +         TDO  = Test Data Out, JTAG pin
    +         TCK  = Test Clock, JTAG pin
    +         TMS  = Test Mode Select, JTAG pin
    +  PROHIBITED  = User reserved pin
    +
    diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pindiagram.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pindiagram.gif new file mode 100755 index 0000000..504bb2b Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pindiagram.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinlegend.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinlegend.htm new file mode 100755 index 0000000..26f2262 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinlegend.htm @@ -0,0 +1,150 @@ + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymBrief Description
     CLK Clock
     GCK0 Global Clock 0
     GCK1 Global Clock 1
     GCK2 Global Clock 2
     GND Ground
     GSR Global Set/Reset
     GTS0 Global Output Enable 0
     GTS1 Global Output Enable 1
     GTS2 Global Output Enable 2
     GTS3 Global Output Enable 3
     I/O Input/Output
     INIT Initial state
     ISP In system programmable
     JTAG Joint Test Action Group
     KPR Unused I/O with weak keeper
     NC No Connects
     PGND Programmable ground pin
     PROHIBITED User reserved pin
     R Reset
     S Set
     TCK Test clock
     TDI Test data input
     TDO Test data output
     TIE Unused I/O floating
     TMS Test mode select
     LVCMOS Low Voltage CMOS 3.3 Volts
     LVCMOS25 Low Voltage CMOS 2.5 Volts
     LVCMOS33 Low Voltage CMOS 2.5 to 3.3 Volts
     LVTTL Low Voltage TTL 3.3 Volts
     VCCIO Input/Output Supply Voltage
     VCC Power internal
     WPU Weak Pull Up
    + + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinlegendV.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinlegendV.htm new file mode 100755 index 0000000..577547a --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinlegendV.htm @@ -0,0 +1,150 @@ + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymVerbose Description
     CLK Global Clock
     GCK0 Global clock zero
     GCK1 Global clock one
     GCK2 Global clock two
     GND Dedicated Ground Pin
     GSR Global set-reset
     GTS0 Global tristate zero (output enable)
     GTS1 Global tristate one (output enable)
     GTS2 Global tristate two (output enable)
     GTS3 Global tristate three (output enable)
     I/O Input/Output
     INIT Initial state
     ISP The use of the JTAG port to program the chip while it is powered in a system.
     JTAG IEEE Standard 1149 (JTAG) boundary-scan test standard.
     KPR Unused I/O with weak keeper (leave unconnected)
     NC Not Connected, unbonded pin
     PGND Programmable ground pin
     PROHIBITED User reserved pin
     R Reset
     S Set
     TCK One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. Test operations of the device are synchronous to TCK. Data is captured on the rising edge of TCK and outputs change on the falling edge of TCK. An internal pull-up forces TCK to a high level if left unconnected.
     TDI One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial input for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level if left unconnected.
     TDO One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial output for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level when it is not driven from an external source.
     TIE Unused I/O floating -- must tie to VCC, GND or other signal
     TMS One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It directs the device through its Test Access Port controller states. An internal pull-up forces TDI to a high level when it is not driven from an external source. TMS also provides the optional test reset signal of IEEE Std 1149 or IEEE Std 1532.
     LVCMOS Low Voltage Complementary Metal Oxide Semiconductor 3.3 Volts
     LVCMOS25 External I/O supply voltage for LVCMOS25
     LVCMOS33 External I/O supply voltage for LVCMOS33
     LVTTL Low Voltage Transistor Transistor Logic 3.3Volts
     VCCIO External power for Inputs/Outputs
     VCC Dedicated Power Pin, Internal supply voltage for the device
     WPU Unused I/O with Internal Weak Pull Up (leave unconnected)
    + + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pins.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pins.htm new file mode 100755 index 0000000..d017bcc --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pins.htm @@ -0,0 +1,241 @@ + + + + + + +

    Pin List

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Pin NumPin TypeAssigned Signal
    1I/O/GCK3LED<4>
    2I/OLED<5>
    3I/OLED<6>
    4GNDGND
    5I/OLED<7>
    6I/OTX
    7I/OKPR
    8I/OKPR
    9TDITDI
    10TMSTMS
    11TCKTCK
    12I/OKPR
    13I/OKPR
    14I/OKPR
    15VCCINTVCC
    16I/OKPR
    17GNDGND
    18I/OKPR
    19I/OKPR
    20I/OXSTALIN
    21I/OHZIN
    22I/OKPR
    23I/OKPR
    24TDOTDO
    25GNDGND
    26VCCIOVCC
    27I/OKPR
    28I/OKPR
    29I/OKPR
    30I/OKPR
    31I/OKPR
    32I/OKPR
    33I/O/GSRKPR
    34I/O/GTS2KPR
    35VCCINTVCC
    36I/O/GTS1KPR
    37I/OKPR
    38I/OKPR
    39I/OKPR
    40I/OKPR
    41I/OLED<0>
    42I/OLED<1>
    43I/O/GCK1LED<2>
    44I/O/GCK2LED<3>
    + + +
    + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pins.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pins.js new file mode 100644 index 0000000..591e563 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pins.js @@ -0,0 +1,62 @@ +var specSig = new Array(); +var pins = new Array(); +var pinsAssign = new Array(); +var prohibit = new Array(); +var unusedStr = "WPU"; +var gndStr = "GND"; +var vccStr = "VCC"; +var tdiStr = "TDI"; +var tdoStr = "TDO"; +var tmsStr = "TMS"; +var tckStr = "TCK"; + +function showPin(pin) { parent.leftnav.showAppletPin(pin); } + +function printPage() { window.print(); parent.leftnav.printAppletPkg(); } + +function showEqn(signal) { parent.leftnav.showEqn(signal); } + +function updatePin(type) { + with (document.options) { + switch (type) { + case 0: + if (inp.checked) parent.leftnav.document.options.inOn.value = 1; + else parent.leftnav.document.options.inOn.value = 0; + break; + + case 1: + if (out.checked) parent.leftnav.document.options.outOn.value = 1; + else parent.leftnav.document.options.outOn.value = 0; + break; + + case 2: + if (glb.checked) parent.leftnav.document.options.glbOn.value = 1; + else parent.leftnav.document.options.glbOn.value = 0; + break; + + case 3: + if (isp.checked) parent.leftnav.document.options.ispOn.value = 1; + else parent.leftnav.document.options.ispOn.value = 0; + break; + + case 4: + if (vcc.checked) parent.leftnav.document.options.vccOn.value = 1; + else parent.leftnav.document.options.vccOn.value = 0; + break; + + case 5: + if (gnd.checked) parent.leftnav.document.options.gndOn.value = 1; + else parent.leftnav.document.options.gndOn.value = 0; + break; + + case 6: + if (unuse.checked) parent.leftnav.document.options.unuseOn.value = 1; + else parent.leftnav.document.options.unuseOn.value = 0; + break; + } + } + + parent.leftnav.showPinOut(); +} + +function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); } diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinsdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinsdoc.htm new file mode 100644 index 0000000..9da2708 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinsdoc.htm @@ -0,0 +1,265 @@ + + + + + + + + + + +Pin List + + + + + + + + + + + + + + + + + + + + + + + + +

    Pin List

    + +

    The Pin List + page lists each pin of your design with its pin type and associated signal. +  Check boxes + at the top of the table allow you to select and deselect which pin types + you want displayed in the table (the default view will display all of + them).

    + +

    Note: +  There is + a button below the table.  Click + this button to open a new window describing all of the acronyms used in + the function block table.  You + can select either brief descriptions or more detailed descriptions by + clicking the "Verbose" button at the top of the window.

    + +Clicking + on the underscored pin numbers in the first column of the table will open + a new window displaying the pin layout diagram for the selected pin.  Rolling + your mouse over the colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin: + + +

    + +

    Clicking any underscored signal in the + third column of the table will open a new window displaying the equations + for that particular signal.

    + +

    Clicking on the button + at the top of the screen will open a new window with a graphical, top + view of all of the pins:  

    + +

    + +

    They are color-coded as follows:

    + + + +++ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +

    Color

    +

    Signal

    +

    Green

    +

    Input

    +

    Aqua

    +

    Bidirectional

    +

    Blue

    +

    Output

    +

    Magenta

    +

    Clock

    +

    Red

    +

    VCC

    +

    Black

    +

    GND

    +

    Yellow

    +

    TDO

    +

    Gray

    +

    TDI

    +

    White

    +

    Unused Pin

    +

    Black Outline

    +

    No available + Pad

    + +

    As with the single pin display, rolling + your mouse over any colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin.

    + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinview.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinview.jpg new file mode 100755 index 0000000..c3a27ca Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/pinview.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/plugin.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/plugin.js new file mode 100755 index 0000000..cc34368 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/plugin.js @@ -0,0 +1,96 @@ + +function checkJre(){ + +var agt=navigator.userAgent.toLowerCase(); +var is_major = parseInt(navigator.appVersion); + + +var is_nav = ((agt.indexOf('mozilla')!=-1) && (agt.indexOf('spoofer')== -1) +&& (agt.indexOf('compatible') == -1) && (agt.indexOf('opera')== -1) +&& (agt.indexOf('webtv')==-1) && (agt.indexOf('hotjava')== -1)); +var is_nav4up = (is_nav && (is_major >= 4)); + +var is_ie = ((agt.indexOf("msie") != -1) && (agt.indexOf("opera") == -1)); +var is_ie5 = (is_ie && (is_major == 4) && (agt.indexOf("msie 5.0")!= -1) ); +var is_ie5_5 = (is_ie && (is_major == 4) && (agt.indexOf("msie 5.5") != -1)); +var is_ie6 = (is_ie && (is_major == 4) && (agt.indexOf("msie 6.0") != -1)); + +var is_ie5up = (is_ie && (is_major == 4) && ( (agt.indexOf("msie 5.0")!=-1) || (agt.indexOf("msie 5.5")!=-1) || (agt.indexOf("msie 6.0")!=-1) ) ); + +var pluginDetected = false; +var activeXDisabled = false; + +// we can check for plugin existence only when browser is 'is_ie5up' or 'is_nav4up' +if(is_nav4up) { + + // Refresh 'navigator.mimeTypes' to get newly installed mimeTypes. + // Use 'navigator.mimeTypes.refresh(false)' to refresh mimeTypes + // without refreshing open documents (browser windows) + + // check for Java plugin in installed mimeTypes + if(navigator.mimeTypes ) { + //window.alert( "length"); + //window.alert( navigator.mimeTypes.length); + for (i=0; i < navigator.mimeTypes.length; i++) { + //window.alert(navigator.mimeTypes[i].type); + if( (navigator.mimeTypes[ i].type != null) + &&(navigator.mimeTypes[ i].type.indexOf( + "application/x-java-applet;jpi-version=1.4") != -1) ) { + + pluginDetected = true; + break; + } + + } + } + +} else if (is_ie5up) { + var javaVersion; + var shell; + try { + // Create WSH(WindowsScriptHost) shell, available on Windows only + shell = new ActiveXObject("WScript.Shell"); + + if (shell != null) { + // Read JRE version from Window Registry + try { + javaVersion = shell.regRead + ("HKEY_LOCAL_MACHINE\\Software\\JavaSoft\\Java Runtime Environment\\CurrentVersion"); + } catch(e) { + // handle exceptions raised by 'shell.regRead(...)' here + // so that the outer try-catch block would receive only + // exceptions raised by 'shell = new ActiveXObject(...)' + } + } + } catch(e) { + window.alert(" Creating ActiveX controls thru script is disabled \n in InternetExplorer security options \n To enable it: \n a. Go to the 'Tools -->; Internet Options' menu\n b. Select the 'Security' tab\n c. Select zone (Internet/Intranet)\n d. Click the 'Custom Level..' button which will display the\n 'Security Settings' window.\n e. Enable the option 'Initialize and script ActiveX controls\n not marked as safe' "); + + activeXDisabled = true; + } + + // Check whether we got required (1.4+) Java Plugin + if ( (javaVersion != null) && (javaVersion.indexOf("1.4") != -1) ) { + pluginDetected = true; + } + +} + + +if (pluginDetected) { + + // show applet page + document.location.href="newappletref.htm"; + +} else if (confirm("Java Plugin 1.4+ not found, Do you want to download it?\n" + + "if you choose not to install the plugin the reports graphical applets will not be available.")) { + + // show install page + document.location.href=XilinxD; + +} else { + // show error page + document.location.href="newappletref.htm"; +} + +} + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/prev.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/prev.jpg new file mode 100755 index 0000000..eb29285 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/prev.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/print.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/print.jpg new file mode 100755 index 0000000..b558dec Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/print.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/products.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/products.gif new file mode 100755 index 0000000..7680404 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/products.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/purchase.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/purchase.gif new file mode 100755 index 0000000..177f4ba Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/purchase.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/report.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/report.htm new file mode 100644 index 0000000..70e46e7 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/report.htm @@ -0,0 +1,19 @@ + + + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/result.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/result.htm new file mode 100644 index 0000000..a63a253 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/result.htm @@ -0,0 +1,14 @@ + + + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/search.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/search.gif new file mode 100755 index 0000000..714dc20 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/search.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/spacer.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/spacer.gif new file mode 100755 index 0000000..0eba199 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/spacer.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/style.css b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/style.css new file mode 100644 index 0000000..5f32596 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/style.css @@ -0,0 +1,19 @@ + +.tocRef A:link {font-family:arial black; font-size:14px;} +.tocRef A:visited {font-family:arial black; font-size:14px;} +.tocRef A:active {font-family:arial black; font-size:14px;} +.tocRef A:hover {font-family:arial black; font-size:14px;} +.tocBgnd {background:#CCCCCC;} + + +.pgRef A:link { } +.pgRef A:visited { } +.pgRef A:active { } +.pgRef A:hover { } +.pgHeader {background:#E7CF5A;} +.pgBgnd {background:#FFFFFF;} + + +#tipBox {position: absolute; width: 150px; z-index: 100;border: 1pt black solid; background: white; visibility: hidden;} +.tipBoxCursor {cursor:crosshair;} + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/summary.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/summary.htm new file mode 100644 index 0000000..c641d7e --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/summary.htm @@ -0,0 +1,151 @@ + + + + + + +

    Summary

    + + + + + + + + + + + + + + + + + + + + + +
     Design Name + counta
     Fitting Status + Successful
     Software Version + P.20131013
     Device Used + XC9572XL-5-VQ44 +
     Date +  8- 4-2020, 0:40AM

    RESOURCES SUMMARY
    + + + + + + + + + + + + + + + +
    Macrocells UsedPterms UsedRegisters UsedPins UsedFunction Block Inputs Used
    42/72  (59%)227/360  (64%)42/72  (59%)11/34  (33%)86/216  (40%)

    PIN RESOURCES
    + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal TypeRequiredMapped
     Input 2 2
     Output 9 9
     Bidirectional 0 0
     GCK 0 0
     GTS 0 0
     GSR 0 0
    + + + + + + + + + + + + + + + + + + + + + + + + + +
    Pin TypeUsedTotal
     I/O  + 8 29
     GCK/IO 3 3
     GTS/IO 0 2
     GSR/IO 0 1

    GLOBAL RESOURCES
    + + + + + + + + + + + + + +
     Global clock net(s) used 0
     Global output enable net(s) used 0
     Global set/rest net(s) used 0

    POWER DATA
    + + + + + + + + + + + + + +
     Macrocells in high performance mode (MCHP) 42
     Macrocells in low power mode (MCLP) 0
     Total macrocells used (MC) 42
    + + +
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/summary.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/summary.js new file mode 100644 index 0000000..8702822 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/summary.js @@ -0,0 +1,28 @@ +function popWin(url, w, h) { + var win = window.open(url, 'win', + 'toolbar=no,location=no,directories=no,status=no,menubar=no,scrollbars=yes,resizable=yes,width='+w+',height='+h); + win.focus(); +} + +function showTop() { parent.leftnav.showTop(); } + +function showDoc(device) { + var url = docURL; + + if ((device.indexOf("XC2") != -1) && (device.indexOf("S") != -1)) + url = docCr2sURL; + else if (device.indexOf("XC2") != -1) url = docXbrURL; + else if (device.indexOf("XA2") != -1) url = docAcr2URL; + else if (device.indexOf("XCR3") != -1) url = docXpla3URL; + else if (device.indexOf("XV") != -1) url = doc95xvURL; + else if (device.indexOf("XL") != -1) url = doc95xlURL; + else if (device.indexOf("XA") != -1) url = doc95xaURL; + else url = doc95URL; + + popWin(url); +} + +function priceDev(device) { + var url = "http://toolbox.xilinx.com/cgi-bin/xilinx.storefront/1816638537/Catalog"; + popWin(url); +} diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/summarydoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/summarydoc.htm new file mode 100644 index 0000000..607f637 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/summarydoc.htm @@ -0,0 +1,205 @@ + + + + + + + + + + + + + + + Summary + + + + + + + + +

    +Summary

    + +
    The +Summary section of the HTML report contains several tables summarizing +the fitting results for your design. +
     
    +The Header +table contains the following:  +
      +
    • +The Design +Name
    • + +
    • +The Fitting +Status, which is one of  the following:
    • + +
        +
      • +Successful
      • + +
      • +Design Rule Checking Failed
      • + +
      • +Placement Failed
      • + +
      • +Routing Failed
      • +
      + +
    • +The Software +Version 
    • + +
    • +The Device +Used, with a link to a PDF version of the device documentation
    • + +
    • +The Date +and time of completion
    • +
    +The Resources +Summary table includes: +
      +
    • +The number +and percentage of macrocells used vs total in device 
    • + +
    • +The number +and percentage of product terms used vs total in device 
    • + +
    • +The number +and percentage of registers used vs total in device 
    • + +
    • +The number +and percentage of pins used vs total in device 
    • + +
    • +The number +and percentage of function block inputs used vs total in device 
    • +
    +The Pin +Resources table includes two tables: +
      +
    • +The first +table indicates the requirements of the design, broken up by Signal Type. +The number required and the number mapped onto the device are indicated +for each signal type.
    • + +
    • +The second +table gives the total number of each pin type available in the device, +alongwith the number used.
    • +
    +XPLA3 +only - The Local Control Term Resources table lists the local +control term mapping for each function block: +
      +
    • +Each row +lists the local control term mapping for each of the eight control terms, +LCT0-LCT7, in a function block.
    • + +
    • +The control +term mapping can be:
    • + +
        +
      • +ce - clock +enable
      • + +
      • +clk - clock
      • + +
      • +ee - output +enable
      • + +
      • +sr - set/reset
      • + +
      • +uct1 - universal +control term clock
      • + +
      • +uct2 - universal +control term output enable
      • + +
      • +uct3 - universal +control term set
      • + +
      • +uct4 - universal +control term reset
      • +
      + +
    • +Each LCT +can have some subset of the signals above mapped onto them:
    • + +
        +
      • +LCT0 - sr, +oe
      • + +
      • +LCT1 - sr, +oe
      • + +
      • +LCT2 - sr, +oe
      • + +
      • +LCT3 - sr
      • + +
      • +LCT4 - ce, +clk, sr
      • + +
      • +LCT5 - clk, +sr
      • + +
      • +LCT6 - clk, +oe
      • + +
      • +LCT7 - clk, +uct1/uct2/uct3/uct4
      • +
      +
    +The Global +Resources table indicates the signals used as global signals in the +device. +

    XC9500/XL/XV +only - The Power Data table indicates the number of macrocells +in standard and low power mode. +

    XPLA3 +only - The Block Resources table indicates the number of function +block control terms used vs available and the number of foldback NANDs +used vs available. + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/support.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/support.gif new file mode 100755 index 0000000..a154620 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/support.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/time.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/time.htm new file mode 100644 index 0000000..b267502 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/time.htm @@ -0,0 +1,3 @@ + +No Timing Data Available... + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/tooltips.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/tooltips.js new file mode 100644 index 0000000..790ce27 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/tooltips.js @@ -0,0 +1,143 @@ +/* Your are permitted to reuse this code as long as the following copyright + notice is not removed: + + This HTML tip handling is copyright 1998 by insideDHTML.com, LLC. More information about this + code can be found at Inside Dynamic HTML: HTTP://www.insideDHTML.com +*/ + + +// Support for all collection +var allSupport = document.all!=null; + +function setupEventObject(e) { + // Map NS event object to IEs + if (e==null) return; // IE returns + window.event = e; + window.event.fromElement = e.target; + window.event.toElement = e.target; + window.event.srcElement = e.target; + window.event.x = e.x; + window.event.y = e.y; + // Route the event to the original element + // Necessary to make sure _tip is set. + window.event.srcElement.handleEvent(e); +} + +function checkName(src) { + // Look for tooltip in IE + while ((src!=null) && (src._tip==null)) + src = src.parentElement; + return src; +} + +function getElement(elName) { + // Get an element from its ID + if (allSupport) return document.all[elName]; + else return document.layers[elName]; +} + +function writeContents(el, tip) { + // Replace the contents of the tooltip + if (allSupport) + el.innerHTML = tip; + else { + // In NS, insert a table to work around + // stylesheet rendering bug. + // NS fails to apply style sheets when writing + // contents into a positioned element. + el.document.open(); + el.document.write("
    "); + el.document.write(tip); + el.document.write("
    "); + el.document.close(); + } +} + +function getOffset(el, which) { + // Function for IE to calculate position + // of an element. + var amount = el["offset"+which]; + if (which=="Top") amount+=el.offsetHeight; + el = el.offsetParent; + while (el!=null) { + amount+=el["offset"+which]; + el = el.offsetParent; + } + return amount; +} + + +function setPosition(el) { + // Set the position of an element + + src = window.event.srcElement + if (allSupport) { + el.style.pixelTop = getOffset(src, "Top"); + el.style.pixelLeft = getOffset(src, "Left"); + } + else { + el.top = src.y + 20; //window.event.y + 15 + el.left = src.x; //window.event.x + } +} + +function setVisibility(el, bDisplay) { + // Hide or show to tip + if (bDisplay) { + if (allSupport) el.style.visibility = "visible"; + else el.visibility = "show"; + } + else { + if (allSupport) el.style.visibility = "hidden"; + else el.visibility = "hidden"; + } +} + + +function displayContents(tip) { + // Display the tooltip. + var el = getElement("tipBox"); + writeContents(el, tip); + setPosition(el); + setVisibility(el, true); +} + + +function doMouseOver(e) { + // Mouse moves over an element + setupEventObject(e); + var el, tip; + if ((el = checkName(window.event.srcElement))!=null) { + if (!el._display) { + displayContents(el._tip); + el._display = true; + } + } +} + +function doMouseOut(e) { + // Mouse leaves an element + setupEventObject(e); + el = checkName(window.event.srcElement); + var el, tip; + if ((el = checkName(window.event.srcElement))!=null) { + if (el._display) { + if ((el.contains==null) || (!el.contains(window.event.toElement))) { + setVisibility(getElement("tipBox"), false); + el._display = false; + } + } + } +} + +function doLoad() { + // Do Loading + if ((window.document.captureEvents==null) && (!allSupport)) + return; // Not IE4 or NS4 + if (window.document.captureEvents!=null) // NS - capture events + window.document.captureEvents(Event.MOUSEOVER | Event.MOUSEOUT) + window.document.onmouseover = doMouseOver; + window.document.onmouseout = doMouseOut; +} + +window.onload = doLoad; diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/topnav.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/topnav.htm new file mode 100644 index 0000000..ac2b452 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/topnav.htm @@ -0,0 +1,10 @@ + + + + + + + + +
    + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/topnav.js b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/topnav.js new file mode 100644 index 0000000..9e3bb9a --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/topnav.js @@ -0,0 +1,30 @@ +function popWin(url) { + var win = window.open(url, 'win', + 'location=yes,directories=yes,menubar=yes,toolbar=yes,status=yes,scrollbars=yes,resizable=yes,width=800,height=600'); + win.focus(); +} + +function openTab(type, device) { + var url = rootURL; + switch (type) { + case 0: url = rootURL; break; + case 1: + if (device.indexOf('XC2') != -1) url += prodURL + xbrURL; + else if (device.indexOf('XA2') != -1) url += prodURL + acr2URL; + else if (device.indexOf('XCR3') != -1) url += prodURL + xpla3URL; + else if (device.indexOf('XV') != -1) url += prodURL + xc9500xvURL; + else if (device.indexOf('XL') != -1) url += prodURL + xc9500xlURL; + else if (device.indexOf('XA') != -1) url += prodURL + xa9500xlURL; + else url += prodURL + xc9500URL; + break; + case 2: url += marketURL; break; + case 3: url = supportURL; break; + case 4: url += educationURL; break; + case 5: url = buyURL; break; + case 6: url += contactURL; break; + case 7: url += searchURL; break; + default: url = rootURL; + } + + popWin(url); +} diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/unmapinputdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/unmapinputdoc.htm new file mode 100755 index 0000000..fe242d6 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/unmapinputdoc.htm @@ -0,0 +1,65 @@ + + + + + + + + + + +unmapinputdoc + + + + + + + + + + + + + + + + + + + + + + + + +

    Unmapped Inputs

    + +

    This page shows + input signals which were either not mapped or not + routed.

    + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/unmaplogicdoc.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/unmaplogicdoc.htm new file mode 100755 index 0000000..6371313 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/unmaplogicdoc.htm @@ -0,0 +1,68 @@ + + + + + + + + + + +unmaplogicdoc + + + + + + + + + + + + + + + + + + + + + + + + +

    Unmapped Logic

    + +

    This page shows those equations whose + logic was either not placed or not completely + placed in the specified device.

    + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/verboseview.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/verboseview.jpg new file mode 100755 index 0000000..819132e Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/verboseview.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/view.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/view.gif new file mode 100755 index 0000000..6167497 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/view.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/wait.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/wait.htm new file mode 100755 index 0000000..2b6486c --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/wait.htm @@ -0,0 +1,7 @@ + + + + + +

    Processing...

    + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500_logo.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500_logo.gif new file mode 100755 index 0000000..ad995ff Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500_logo.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500_logo.jpg new file mode 100755 index 0000000..a5c7128 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500xl_logo.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500xl_logo.gif new file mode 100755 index 0000000..d1549b3 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500xl_logo.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500xl_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500xl_logo.jpg new file mode 100755 index 0000000..ff4054a Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500xl_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500xv_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500xv_logo.jpg new file mode 100755 index 0000000..90ddb36 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xc9500xv_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xcenter.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xcenter.gif new file mode 100755 index 0000000..dcff6a3 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xcenter.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xlogo.gif b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xlogo.gif new file mode 100755 index 0000000..7694fae Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xlogo.gif differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml5.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml5.jpg new file mode 100755 index 0000000..8e7a8d7 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml5.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml6.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml6.jpg new file mode 100755 index 0000000..a2e0420 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml6.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml7.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml7.jpg new file mode 100755 index 0000000..5853ddb Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml7.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml8.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml8.jpg new file mode 100755 index 0000000..ffea08c Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/xml8.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/acr2_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/acr2_logo.jpg new file mode 100755 index 0000000..f7f6626 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/acr2_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/blackBar.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/blackBar.jpg new file mode 100755 index 0000000..af8f6d6 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/blackBar.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/cpldBanner.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/cpldBanner.jpg new file mode 100755 index 0000000..7686e80 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/cpldBanner.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/cr2s_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/cr2s_logo.jpg new file mode 100755 index 0000000..ca81eb8 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/cr2s_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/fitterRpt.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/fitterRpt.jpg new file mode 100755 index 0000000..42de6ff Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/fitterRpt.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/logo.jpg new file mode 100755 index 0000000..dbc01d5 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/spacer.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/spacer.jpg new file mode 100755 index 0000000..d9a9aa5 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/spacer.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/timingRpt.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/timingRpt.jpg new file mode 100755 index 0000000..376924c Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/timingRpt.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xa9500xl_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xa9500xl_logo.jpg new file mode 100755 index 0000000..dbc01d5 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xa9500xl_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xbr_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xbr_logo.jpg new file mode 100755 index 0000000..f7f6626 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xbr_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xc9500_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xc9500_logo.jpg new file mode 100755 index 0000000..86638a7 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xc9500_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xc9500xl_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xc9500xl_logo.jpg new file mode 100755 index 0000000..dbc01d5 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xc9500xl_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xc9500xv_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xc9500xv_logo.jpg new file mode 100755 index 0000000..ba2110d Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xc9500xv_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xpla3_logo.jpg b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xpla3_logo.jpg new file mode 100755 index 0000000..3089121 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/images/xpla3_logo.jpg differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/cpldta_glossary.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/cpldta_glossary.htm new file mode 100755 index 0000000..3bb390e --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/cpldta_glossary.htm @@ -0,0 +1,250 @@ + + + + + + + + + + +CPLD Timing Analysis Glossary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    Introduction

    + +

    This report is the result of a static timing analysis of your design + after it has been fit in the device that you selected. The timing values + given represent the worst-case values over the recommended operating conditions + for the part.

    + +

    Overview

    + +

    The timing report consists of a series of sections:

    + +

    Summary

    + +

    This table summarizes the external timing parameters for your device, + including tPD, + tCO, + tSU, + tCYC, + and fSYSTEM. +  For a more + detailed description of the timing model for your device, please refer + to the application notes linked below.

    + +

    Timing Constraints

    + +

    This section reports on any timing constraints that you created for + your design. Timing constraints can be entered using the Constraints Editor + tool, or by editing an Implementation Constraints File directly. For more + information on creating timing constraints, see the Constraints Guide. +

    + +

    Note that if you + did not define any constraints for your design, then the timing analysis + software will automatically create a default set of constraints for you. + These include pad-to-pad, register-to-register, pad-to-register, and period + constraints. A constraint value of 0 ns + will be used for all of these automatically generated constraints. As + a result, all paths listed under each constraint will violate the constraint, + and will have a negative value for slack.

    + +

    Note also that to + limit the size of the report, each path endpoint involved in a timing + path will only be listed once, under a single constraint.  

    + +

    For each timing path listed under a constraint, there is a hyperlink + that can be used to open a window listing the individual internal delay + elements traversed in the path. To understand these delay elements, consult + the Definitions section below, or the following + application notes and white papers:

    + +

    XAPP375: Understanding + the CoolRunner-II + Timing Model

    + +

    WP122: + Using the CoolRunner + XPLA3 Timing Model

    + +

    XAPP071: Using + the XC9500 Timing Model

    + +

    XAPP111: Using + the XC9500XL Timing Model

    + +

    XAPP + 362: Using the XC9500XV Timing Model

    + +

    available in the literature section of www.xilinx.com. +

    + +

    Data Sheet Report

    + +

    This section of the report lists the external timing parameters for + your design. This includes; maximum external clock speed for each clock, + setup and hold times for each registered input, clock-to-output pad timing + for each registered output, clock to setup time for each register-to-register + timing path, and pad-to-pad time for each combinatorial path through your + design.

    + +

    Going Further

    + +

    To do more advanced timing analysis of your design, select the process + Analyze Post-Fit Static Timing + in iSE. This + will run Xilinx's + Timing Analyzer tool interactively.  The + Timing Analyzer provides a powerful, flexible, and easy way to perform + static timing analysis on FPGA + and CPLD designs. + With Timing Analyzer, analysis can be performed immediately after mapping, + placing or routing an FPGA + design, and after fitting and routing a CPLD + design.

    + +

    Timing Analyzer verifies that the delay along a given path or paths + meets specified timing requirements. It organizes and displays data that + allows you to analyze critical paths in a circuit, the cycle time of the + circuit, the delay along any specified path(s), + and the path with the greatest delay. It also provides a quick analysis + of the effect different speed grades have on the same design.  

    + +

    Timing Analyzer performs setup and hold checks (skew analysis). It works + with synchronous systems composed of synchronous elements and combinatorial + logic. In synchronous design, Timing Analyzer takes into account all path + delays, including clock-to-out and setup requirements, while calculating + the worst-case timing of the design.

    + +

    Timing Analyzer creates timing analysis reports based on existing timing + constraints or user specified paths within the program. Timing reports + have a hierarchical browser to quickly jump to different sections of the + reports. Timing paths in reports can be cross probed to synthesis tools + (Exemplar and Synplicity) + and Floorplanner. +

    + +

    There are several ways to issue commands in Timing Analyzer. Timing + Analyzer can be controlled through GUI + features (menu commands) or its comprehensive macro command language facility. + You can select from menus, click toolbar buttons, type keyboard commands + in the console window, and run macros.

    + +

    Definitions

    + +

    Pad to Pad (tPD) +

    + +

    Reports pad to pad paths that start at input pads and end at output + pads. The maximum external pad to pad delay.  Combinatorial + pad-to-pad paths begin at input pads, propagate through one or more levels + of combinatorial logic and end at output pads. Combinatorial paths also + trace through the enable inputs of 3-state controlled pads. Combinatorial + paths are not traced through clock, and asynchronous set and reset inputs + of registers. These paths are also broken at bidirectional pins

    + +

    Clock Pad to Output Pad (tCO) +

    + +

    The maximum external clock pad to output pad delay.  Reports + paths that start at input  pads + trace through clock inputs of  registers + and end at output pads. Paths are not traced through PRE/CLR +  inputs + of registers.  You + can directly specify tCO + for all registered output paths in your design using the Pad-to-Pad timespec. + Clock-Pad-to-Pad paths for global clocks begin at global clock pads, propagate + through global clock buffers, and propagate through the flip-flop Q + output and any number of levels of combinatorial logic and end at the + output pad. Clock-Pad-to-Pad paths for product term clock paths begin + at input pads, propagate through any number of logic levels feeding into + a clock product term, propagate through the flip-flop Q + output and any number of levels of combinatorial logic and end at the + output pad. Clock-Pad-to-Pad paths also trace through the enable inputs + of 3-state controlled pads.

    + +

    Setup to Clock at Pad (tSU + or tSUF)

    + +

    Reports external setup time of data  to + clock at pad. Data path starts at an input pad and ends at register  (Fast + Input Register for tSUF) + D/T  input. + Clock path starts at input pad and ends at the register clock input.  Paths + are not traced through registers. Pin-to-pin setup requirement is not + reported or guaranteed for product-term clocks derived from macrocell + feedback signals.

    + +

    The minimum required setup time for flip-flops.  You + can specify the tSU + (setup-to-clock) for all inputs in your design relative to a global clock + or product term clock. Each tSU + OFFSET timespec involves an input path and a clock path. Input paths start + at input pads, propagate through input buffers and any number of combinatorial + logic levels before ending at a flip-flop D/T input, including the receiving + flip-flop's tSU.  Input + paths are not traced through flip-flop clock pins, asynchronous set/reset + inputs or bidirectional I/O pins. Global clock paths start at global clock + pads, propagate through global clock buffers and end at the flip-flop + clock pin. Product term clock paths start at input pads, propagate through + a single level of logic implemented in a clock product term and end at + the flip-flop clock pin.

    + +

    Clock to Setup (tCYC)

    + +

    Register to register cycle time. Includes source register tCO and destination + register tSU.

    + +

    Note that when the + computed Maximum Clock Speed is limited by tCYC, it is computed assuming + that all registers are rising-edge sensitive.

    + +

    fSYSTEM

    + +

    Maximum clock operating frequency.  You + can specify the fSYSTEM (clock frequency or period) for all registered + paths in your design using a Register-to-Register timespec. Register-to-Register + paths begin at flip-flop clock inputs, propagate through the flip-flop + Q output and any number of levels of combinatorial logic and end at the + receiving flip-flop D/T input, including the receiving flip-flop's tSU. + When these flip-flops are clocked by the same clock, the delay on this + path is equivalent to the cycle time of the clock. Registered paths do + not propagate through clock, and asynchronous set and reset inputs of + registers as shown below. These paths are also broken at bidirectional + pins.

    + +

     

    + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/cpldta_style.css b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/cpldta_style.css new file mode 100755 index 0000000..4b82019 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/cpldta_style.css @@ -0,0 +1,144 @@ + + + + +.cpldta_text_report_header { + font-style: normal; + font-weight: bold; + font-size: 25pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + +.cpldta_text_section_header { + font-style: normal; + font-weight: bold; + font-size: 15pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + +.cpldta_text_subsection_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_warnings_header { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } + + +.cpldta_text_normal { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_text_normal_bold { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_description_normal { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} +.cpldta_constraint_description_bold { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_name { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_name_error { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left; + background-color: #FFCCCC; } + + +.cpldta_time_value { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + +.cpldta_time_value_error { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFCCCC; } + + +.cpldta_delaytable_header { + font-style: normal; + font-weight: bold; + font-size: 12pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } +.cpldta_constraint_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left; + background-color: #FFFFCC; } +.cpldta_time_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } +.cpldta_text_caption { + font-style: normal; + font-weight: Bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + +.cpldta_datasheet_pathname { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} +.cpldta_datasheet_time_value { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/genreport.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/genreport.htm new file mode 100755 index 0000000..43aca47 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/genreport.htm @@ -0,0 +1,17 @@ + + +Reports + + +; + + + + + + + +<body bgcolor="#FFFFFF" text="#000000"> +</body> + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/leftnav.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/leftnav.htm new file mode 100755 index 0000000..e72761d --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/leftnav.htm @@ -0,0 +1,37 @@ + + +Timing Navigation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    Timing Report
    +
     Description
     Summary
     Constraints
     Definitions
      
    + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/report.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/report.htm new file mode 100755 index 0000000..fcd16d4 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/report.htm @@ -0,0 +1,14 @@ + + +Reports + + +; + + + + +<body bgcolor="#FFFFFF" text="#000000"> +</body> + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/timing_report.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/timing_report.htm new file mode 100755 index 0000000..8dd7141 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/timing_report.htm @@ -0,0 +1,10 @@ + + +Timing report + +

    No timing data is available + for your design.

    +

    Please double click on the + Generate Timing process in the "Process for Current Sources" + window.

    + \ No newline at end of file diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/toc.css b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/toc.css new file mode 100755 index 0000000..29af0e1 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/toc.css @@ -0,0 +1,36 @@ +.HEADING { + font-size: 15px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #000000; + text-align: normal; + margin-left: 0px; } +.Fitting { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #000000; + text-align: normal; + margin-left: 0px; } +.SECONDARY-NAV { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #FFFFFF; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } +.Timing { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #333333; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } +.Timing-Error { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #990000; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/topnav.htm b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/topnav.htm new file mode 100755 index 0000000..00e11b8 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_html/tim/topnav.htm @@ -0,0 +1,31 @@ + + +CPLD Reports Banner + + + + + + + + + + + + + + +
     
    + + + + +   
    + + + + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_ngdbuild.xrpt b/60hz_Divider/code/xilinx/cpld_countertest10/counta_ngdbuild.xrpt new file mode 100644 index 0000000..73b589b --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_ngdbuild.xrpt @@ -0,0 +1,92 @@ + + + + + + +
    + + + + + + + + + + + + + + + +
    + + + + + + + + + + + + +
    +
    + +
    + + + + +
    +
    + +
    + + + + + +
    +
    + + + + + + + + + + + + + + +
    +
    + + + + + + + + + + + + +
    +
    +
    +
    + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_pad.csv b/60hz_Divider/code/xilinx/cpld_countertest10/counta_pad.csv new file mode 100644 index 0000000..14c1237 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_pad.csv @@ -0,0 +1,73 @@ +Release 8.1i - Fit P.20131013 +Copyright(c) 1995-2003 Xilinx Inc. All rights reserved + + 8- 4-2020 0:40AM + +NOTE: This file is designed to be imported into a spreadsheet program +such as Microsoft Excel for viewing, printing and sorting. The comma ',' +character is used as the data field separator. +This file is also designed to support parsing. + +Input file: counta.ngd +output file: counta_pad.csv +Part type: xc9572xl +Speed grade: -5 +Package: vq44 + +Pinout by Pin Number: + +-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, +Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,{blank},Slew Rate,Termination,{blank},Voltage,Constraint, +P1,LED<4>,O,I/O/GCK3,OUTPUT,,,,,,,,, +P2,LED<5>,O,I/O,OUTPUT,,,,,,,,, +P3,LED<6>,O,I/O,OUTPUT,,,,,,,,, +P4,GND,,GND,,,,,,,,,, +P5,LED<7>,O,I/O,OUTPUT,,,,,,,,, +P6,TX,O,I/O,OUTPUT,,,,,,,,, +P7,TIE,,I/O,,,,,,,,,, +P8,TIE,,I/O,,,,,,,,,, +P9,TDI,,TDI,,,,,,,,,, +P10,TMS,,TMS,,,,,,,,,, +P11,TCK,,TCK,,,,,,,,,, +P12,TIE,,I/O,,,,,,,,,, +P13,TIE,,I/O,,,,,,,,,, +P14,TIE,,I/O,,,,,,,,,, +P15,VCC,,VCCINT,,,,,,,,,, +P16,TIE,,I/O,,,,,,,,,, +P17,GND,,GND,,,,,,,,,, +P18,TIE,,I/O,,,,,,,,,, +P19,TIE,,I/O,,,,,,,,,, +P20,XSTALIN,I,I/O,INPUT,,,,,,,,, +P21,HZIN,I,I/O,INPUT,,,,,,,,, +P22,TIE,,I/O,,,,,,,,,, +P23,TIE,,I/O,,,,,,,,,, +P24,TDO,,TDO,,,,,,,,,, +P25,GND,,GND,,,,,,,,,, +P26,VCC,,VCCIO,,,,,,,,,, +P27,TIE,,I/O,,,,,,,,,, +P28,TIE,,I/O,,,,,,,,,, +P29,TIE,,I/O,,,,,,,,,, +P30,TIE,,I/O,,,,,,,,,, +P31,TIE,,I/O,,,,,,,,,, +P32,TIE,,I/O,,,,,,,,,, +P33,TIE,,I/O/GSR,,,,,,,,,, +P34,TIE,,I/O/GTS2,,,,,,,,,, +P35,VCC,,VCCINT,,,,,,,,,, +P36,TIE,,I/O/GTS1,,,,,,,,,, +P37,TIE,,I/O,,,,,,,,,, +P38,TIE,,I/O,,,,,,,,,, +P39,TIE,,I/O,,,,,,,,,, +P40,TIE,,I/O,,,,,,,,,, +P41,LED<0>,O,I/O,OUTPUT,,,,,,,,, +P42,LED<1>,O,I/O,OUTPUT,,,,,,,,, +P43,LED<2>,O,I/O/GCK1,OUTPUT,,,,,,,,, +P44,LED<3>,O,I/O/GCK2,OUTPUT,,,,,,,,, + +To preserve the pinout above for future design iterations in +Project Navigator simply execute the (Lock Pins) process +located under the (Implement Design) process in a toolbox named +(Optional Implementation Tools) or invoke PIN2UCF from the +command line. The location constraints will be written into your +specified UCF file + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_summary.html b/60hz_Divider/code/xilinx/cpld_countertest10/counta_summary.html new file mode 100644 index 0000000..01967e5 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_summary.html @@ -0,0 +1,81 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    counta Project Status (07/14/2020 - 01:00:23)
    Project File:cpld_countertest10.xiseParser Errors: No Errors
    Module Name:countaImplementation State:Fitted
    Target Device:xc9572xl-5VQ44
    • Errors:
    +No Errors
    Product Version:ISE 14.7
    • Warnings:
    1 Warning (0 new)
    Design Goal:Balanced
    • Routing Results:
    Design Strategy:Xilinx Default (unlocked)
    • Timing Constraints:
     
    Environment: + +System Settings +
    • Final Timing Score:
      
    + + + + + + + + + + + + 
    + + + + + + + +
    Detailed Reports [-]
    Report NameStatusGeneratedErrorsWarningsInfos
    Synthesis ReportCurrentTue Aug 4 00:40:22 202001 Warning (0 new)0
    Translation ReportCurrentTue Aug 4 00:40:37 2020000
    CPLD Fitter Report (Text)CurrentTue Aug 4 00:40:42 2020   
    Power Report     

    + + + +
    Secondary Reports [-]
    Report NameStatusGenerated
    Post-Fit Simulation Model Report  
    + + +
    Date Generated: 08/04/2020 - 00:49:24
    + \ No newline at end of file diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/counta_xst.xrpt b/60hz_Divider/code/xilinx/cpld_countertest10/counta_xst.xrpt new file mode 100644 index 0000000..3a4f884 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/counta_xst.xrpt @@ -0,0 +1,120 @@ + + + + + + +
    + + + + + + + + + + + + + + + +
    + + + + + + + + + + + + +
    +
    +
    + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + + +
    +
    + + + + +
    +
    +
    +
    +
    +
    +
    +
    + + + + + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + +
    +
    +
    + + + +
    + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/cpld_countertest10.gise b/60hz_Divider/code/xilinx/cpld_countertest10/cpld_countertest10.gise new file mode 100644 index 0000000..b2f8f0e --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/cpld_countertest10.gise @@ -0,0 +1,128 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/cpld_countertest10.xise b/60hz_Divider/code/xilinx/cpld_countertest10/cpld_countertest10.xise new file mode 100644 index 0000000..bbd5bf4 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/cpld_countertest10.xise @@ -0,0 +1,240 @@ + + + +
    + + + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/counta.xreport b/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/counta.xreport new file mode 100644 index 0000000..d4ed2ff --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/counta.xreport @@ -0,0 +1,215 @@ + + +
    + 2020-08-04T00:46:26 + counta + 2020-07-14T01:00:23 + /home/dev/Desktop/code/xilinx/file/cpld_countertest10/iseconfig/counta.xreport + /home/dev/Desktop/code/xilinx/file/cpld_countertest10/ + 2020-07-05T23:37:58 + false +
    + + + + + + + + + + + + + + + + + + + + + + + +
    diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/counter_schem.xreport b/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/counter_schem.xreport new file mode 100644 index 0000000..687d176 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/counter_schem.xreport @@ -0,0 +1,215 @@ + + +
    + 2020-07-05T23:11:20 + counta + Unknown + /home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/iseconfig/counter_schem.xreport + /home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter + 2020-07-05T23:11:20 + false +
    + + + + + + + + + + + + + + + + + + + + + + + +
    diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/cpld_countertest2.projectmgr b/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/cpld_countertest2.projectmgr new file mode 100644 index 0000000..8663e55 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/cpld_countertest2.projectmgr @@ -0,0 +1,89 @@ + + + + + + + + + 2 + + + counta - Behavioral (/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.vhd) + + 0 + 0 + 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000125000000020000000000000000000000000200000064ffffffff000000810000000300000002000001250000000100000003000000000000000100000003 + false + counta - Behavioral (/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.vhd) + + + + 1 + Design Utilities + Implement Design + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000ef000000010000000100000000000000000000000064ffffffff000000810000000000000001000000ef0000000100000000 + false + + + + + 1 + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000240000000040101000100000000000000000000000064ffffffff0000008100000000000000040000007a0000000100000000000000d00000000100000000000000840000000100000000000000720000000100000000 + false + constraints.ucf + + + + 1 + work + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000121000000010001000100000000000000000000000064ffffffff000000810000000000000001000001210000000100000000 + false + work + + + + 1 + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000ef000000010000000100000000000000000000000064ffffffff000000810000000000000001000000ef0000000100000000 + false + + + + + 1 + Design Utilities + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000ef000000010000000100000000000000000000000064ffffffff000000810000000000000001000000ef0000000100000000 + false + + + 000000ff0000000000000002000001490000012001000000060100000002 + Implementation + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/cpld_countertest8.projectmgr b/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/cpld_countertest8.projectmgr new file mode 100644 index 0000000..331c151 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/cpld_countertest8.projectmgr @@ -0,0 +1,62 @@ + + + + + + + + + 2 + /counta - Behavioral |home|dev|Desktop|code|xilinx|file|cpld_countertest8|counta.vhd + + + counta - Behavioral (/home/dev/Desktop/code/xilinx/file/cpld_countertest8/counta.vhd) + + 0 + 0 + 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000125000000020000000000000000000000000200000064ffffffff000000810000000300000002000001250000000100000003000000000000000100000003 + false + counta - Behavioral (/home/dev/Desktop/code/xilinx/file/cpld_countertest8/counta.vhd) + + + + 1 + Design Utilities + Implement Design + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000ef000000010000000100000000000000000000000064ffffffff000000810000000000000001000000ef0000000100000000 + false + + + + + 1 + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000240000000040101000100000000000000000000000064ffffffff0000008100000000000000040000007a0000000100000000000000d00000000100000000000000840000000100000000000000720000000100000000 + false + constraints.ucf + + + + 1 + work + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000121000000010001000100000000000000000000000064ffffffff000000810000000000000001000001210000000100000000 + false + work + + 000000ff0000000000000002000001490000012001000000060100000002 + Implementation + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/cpld_countertest9.projectmgr b/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/cpld_countertest9.projectmgr new file mode 100644 index 0000000..55e0730 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/iseconfig/cpld_countertest9.projectmgr @@ -0,0 +1,89 @@ + + + + + + + + + 2 + + + constraints.ucf (/home/dev/Desktop/code/xilinx/file/cpld_countertest9/constraints.ucf) + + 0 + 0 + 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000125000000020000000000000000000000000200000064ffffffff000000810000000300000002000001250000000100000003000000000000000100000003 + false + constraints.ucf (/home/dev/Desktop/code/xilinx/file/cpld_countertest9/constraints.ucf) + + + + 1 + Design Utilities + Implement Design + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000ef000000010000000100000000000000000000000064ffffffff000000810000000000000001000000ef0000000100000000 + false + + + + + 1 + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000240000000040101000100000000000000000000000064ffffffff0000008100000000000000040000007a0000000100000000000000d00000000100000000000000840000000100000000000000720000000100000000 + false + constraints.ucf + + + + 1 + work + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000121000000010001000100000000000000000000000064ffffffff000000810000000000000001000001210000000100000000 + false + work + + + + 1 + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000ef000000010000000100000000000000000000000064ffffffff000000810000000000000001000000ef0000000100000000 + false + + + + + 1 + Design Utilities + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000ef000000010000000100000000000000000000000064ffffffff000000810000000000000001000000ef0000000100000000 + false + + + 000000ff0000000000000002000001490000012001000000060100000002 + Implementation + diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/webtalk_pn.xml b/60hz_Divider/code/xilinx/cpld_countertest10/webtalk_pn.xml new file mode 100644 index 0000000..11bfe35 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/webtalk_pn.xml @@ -0,0 +1,43 @@ + + + + +
    + + + + +
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    +
    diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/xlnx_auto_0_xdb/cst.xbcd b/60hz_Divider/code/xilinx/cpld_countertest10/xlnx_auto_0_xdb/cst.xbcd new file mode 100644 index 0000000..279333c Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/xlnx_auto_0_xdb/cst.xbcd differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/hdllib.ref b/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/hdllib.ref new file mode 100644 index 0000000..70f270e --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/hdllib.ref @@ -0,0 +1,2 @@ +AR counta behavioral /home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.vhd sub00/vhpl01 1596516014 +EN counta NULL /home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.vhd sub00/vhpl00 1596516013 diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/hdpdeps.ref b/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/hdpdeps.ref new file mode 100644 index 0000000..5fcf872 --- /dev/null +++ b/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/hdpdeps.ref @@ -0,0 +1,14 @@ +V3 8 +FL /home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.vhd 2020/07/10.20:25:31 P.20131013 +FL /home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.vhd 2020/07/14.00:32:50 P.20131013 +FL /home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.vhd 2020/07/14.01:14:53 P.20131013 +FL /home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.vhd 2020/07/14.02:21:37 P.20131013 +FL /home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.vhd 2020/08/04.00:39:59 P.20131013 +EN work/counta 1596516013 \ + FL /home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.vhd \ + PB ieee/std_logic_1164 1381692176 PB ieee/STD_LOGIC_UNSIGNED 1381692179 \ + PB ieee/NUMERIC_STD 1381692181 +AR work/counta/Behavioral 1596516014 \ + FL /home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.vhd \ + EN work/counta 1596516013 +FL /home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.vhd 2020/07/05.23:34:02 P.20131013 diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/sub00/vhpl00.vho b/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/sub00/vhpl00.vho new file mode 100644 index 0000000..edc4168 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/sub00/vhpl00.vho differ diff --git a/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/sub00/vhpl01.vho b/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/sub00/vhpl01.vho new file mode 100644 index 0000000..03460b7 Binary files /dev/null and b/60hz_Divider/code/xilinx/cpld_countertest10/xst/work/sub00/vhpl01.vho differ