# Generated by Yosys 0.9+2406 (git sha1 eed05953, clang 3.8.1-24 -fPIC -Os) .model counter .inputs CLK_IN .outputs RLED[0] RLED[1] RLED[2] RLED[3] .names $false .names $true 1 .names $undef .gate SB_CARRY CI=pres_count[0] CO=pres_count_SB_CARRY_CI_CO[2] I0=$false I1=pres_count[1] .attr src "./counter.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[2] CO=pres_count_SB_CARRY_CI_CO[3] I0=$false I1=pres_count[2] .attr src "./counter.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[3] Q=pres_count[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[2] Q=pres_count[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[1] Q=pres_count[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[0] Q=pres_count[0] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_LUT4 I0=$false I1=$false I2=pres_count[1] I3=pres_count[0] O=pres_count_SB_DFF_Q_D[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[3] I3=pres_count_SB_CARRY_CI_CO[3] O=pres_count_SB_DFF_Q_D[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[2] I3=pres_count_SB_CARRY_CI_CO[2] O=pres_count_SB_DFF_Q_D[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=pres_count[0] O=pres_count_SB_DFF_Q_D[0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .names $false pres_count_SB_CARRY_CI_CO[0] 1 1 .names pres_count[0] pres_count_SB_CARRY_CI_CO[1] 1 1 .names pres_count[0] RLED[0] 1 1 .names pres_count[1] RLED[1] 1 1 .names pres_count[2] RLED[2] 1 1 .names pres_count[3] RLED[3] 1 1 .end