# Generated by Yosys 0.9+2406 (git sha1 eed05953, clang 3.8.1-24 -fPIC -Os) .model counter .inputs CLK_IN .outputs RLED[0] RLED[1] RLED[2] RLED[3] .names $false .names $true 1 .names $undef .gate SB_CARRY CI=pres_count[0] CO=pres_count_SB_CARRY_CI_CO[2] I0=$false I1=pres_count[1] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[9] CO=pres_count_SB_CARRY_CI_CO[10] I0=$false I1=pres_count[9] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[8] CO=pres_count_SB_CARRY_CI_CO[9] I0=$false I1=pres_count[8] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[17] CO=pres_count_SB_CARRY_CI_CO[18] I0=$false I1=pres_count[17] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[16] CO=pres_count_SB_CARRY_CI_CO[17] I0=$false I1=pres_count[16] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[15] CO=pres_count_SB_CARRY_CI_CO[16] I0=$false I1=pres_count[15] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[14] CO=pres_count_SB_CARRY_CI_CO[15] I0=$false I1=pres_count[14] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[13] CO=pres_count_SB_CARRY_CI_CO[14] I0=$false I1=pres_count[13] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[12] CO=pres_count_SB_CARRY_CI_CO[13] I0=$false I1=pres_count[12] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[11] CO=pres_count_SB_CARRY_CI_CO[12] I0=$false I1=pres_count[11] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[10] CO=pres_count_SB_CARRY_CI_CO[11] I0=$false I1=pres_count[10] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[7] CO=pres_count_SB_CARRY_CI_CO[8] I0=$false I1=pres_count[7] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[6] CO=pres_count_SB_CARRY_CI_CO[7] I0=$false I1=pres_count[6] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[5] CO=pres_count_SB_CARRY_CI_CO[6] I0=$false I1=pres_count[5] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[4] CO=pres_count_SB_CARRY_CI_CO[5] I0=$false I1=pres_count[4] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[3] CO=pres_count_SB_CARRY_CI_CO[4] I0=$false I1=pres_count[3] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[2] CO=pres_count_SB_CARRY_CI_CO[3] I0=$false I1=pres_count[2] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[19] CO=pres_count_SB_CARRY_CI_CO[20] I0=$false I1=pres_count[19] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=pres_count_SB_CARRY_CI_CO[18] CO=pres_count_SB_CARRY_CI_CO[19] I0=$false I1=pres_count[18] .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[20] Q=pres_count[20] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[19] Q=pres_count[19] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[10] Q=pres_count[10] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[9] Q=pres_count[9] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[8] Q=pres_count[8] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[7] Q=pres_count[7] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[6] Q=pres_count[6] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[5] Q=pres_count[5] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[4] Q=pres_count[4] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[3] Q=pres_count[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[2] Q=pres_count[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[1] Q=pres_count[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[18] Q=pres_count[18] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[0] Q=pres_count[0] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[17] Q=pres_count[17] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[16] Q=pres_count[16] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[15] Q=pres_count[15] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[14] Q=pres_count[14] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[13] Q=pres_count[13] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[12] Q=pres_count[12] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=CLK_IN D=pres_count_SB_DFF_Q_D[11] Q=pres_count[11] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:36.3-38.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_LUT4 I0=$false I1=$false I2=pres_count[10] I3=pres_count_SB_CARRY_CI_CO[10] O=pres_count_SB_DFF_Q_D[10] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[9] I3=pres_count_SB_CARRY_CI_CO[9] O=pres_count_SB_DFF_Q_D[9] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[1] I3=pres_count[0] O=pres_count_SB_DFF_Q_D[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[19] I3=pres_count_SB_CARRY_CI_CO[19] O=pres_count_SB_DFF_Q_D[19] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[18] I3=pres_count_SB_CARRY_CI_CO[18] O=pres_count_SB_DFF_Q_D[18] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[17] I3=pres_count_SB_CARRY_CI_CO[17] O=pres_count_SB_DFF_Q_D[17] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[16] I3=pres_count_SB_CARRY_CI_CO[16] O=pres_count_SB_DFF_Q_D[16] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[15] I3=pres_count_SB_CARRY_CI_CO[15] O=pres_count_SB_DFF_Q_D[15] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[14] I3=pres_count_SB_CARRY_CI_CO[14] O=pres_count_SB_DFF_Q_D[14] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[13] I3=pres_count_SB_CARRY_CI_CO[13] O=pres_count_SB_DFF_Q_D[13] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[12] I3=pres_count_SB_CARRY_CI_CO[12] O=pres_count_SB_DFF_Q_D[12] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[11] I3=pres_count_SB_CARRY_CI_CO[11] O=pres_count_SB_DFF_Q_D[11] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[8] I3=pres_count_SB_CARRY_CI_CO[8] O=pres_count_SB_DFF_Q_D[8] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[7] I3=pres_count_SB_CARRY_CI_CO[7] O=pres_count_SB_DFF_Q_D[7] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[6] I3=pres_count_SB_CARRY_CI_CO[6] O=pres_count_SB_DFF_Q_D[6] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[5] I3=pres_count_SB_CARRY_CI_CO[5] O=pres_count_SB_DFF_Q_D[5] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[4] I3=pres_count_SB_CARRY_CI_CO[4] O=pres_count_SB_DFF_Q_D[4] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[3] I3=pres_count_SB_CARRY_CI_CO[3] O=pres_count_SB_DFF_Q_D[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[2] I3=pres_count_SB_CARRY_CI_CO[2] O=pres_count_SB_DFF_Q_D[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=pres_count[20] I3=pres_count_SB_CARRY_CI_CO[20] O=pres_count_SB_DFF_Q_D[20] .attr module_not_derived 00000000000000000000000000000001 .attr src "./counter_slow.v:37.19-37.33|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=pres_count[0] O=pres_count_SB_DFF_Q_D[0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .names $false pres_count_SB_CARRY_CI_CO[0] 1 1 .names pres_count[0] pres_count_SB_CARRY_CI_CO[1] 1 1 .names pres_count[17] RLED[0] 1 1 .names pres_count[18] RLED[1] 1 1 .names pres_count[19] RLED[2] 1 1 .names pres_count[20] RLED[3] 1 1 .end