#!/bin/bash #adapted from https://github.com/leedowthwaite/HelloIce #changes: separate folder for dev files #simplified bash script MAIN=$1 mkdir txtbin echo Using yosys to synthesize design yosys -p "synth_ice40 -blif txtbin/$MAIN.blif" $MAIN.v $@ echo Place and route with arachne-pnr arachne-pnr -d 1k -p icestick.pcf txtbin/$MAIN.blif -o txtbin/$MAIN.txt echo Converting ASCII output to bitstream icepack txtbin/$MAIN.txt txtbin/$MAIN.bin echo Sending bitstream to device iceprog ${ICEPROG_ARGS} $MAIN.bin