Browse Source

switching pcb

master
Your Name 4 years ago
parent
commit
aca937b625
46 changed files with 34470 additions and 2 deletions
  1. +2
    -2
      ZMHW_Modector/docs/14.log
  2. BIN
      ZMHW_Modector/docs/14.pdf
  3. +26
    -0
      ZMHW_Modector/docs/15.aux
  4. +379
    -0
      ZMHW_Modector/docs/15.log
  5. BIN
      ZMHW_Modector/docs/15.pdf
  6. +203
    -0
      ZMHW_Modector/docs/15.tex
  7. +204
    -0
      ZMHW_Modector/docs/15.tex~
  8. +16
    -0
      ZMHW_Modector/docs/15.toc
  9. BIN
      ZMHW_Modector/docs/fin.pdf
  10. +13
    -0
      ZMHW_Modector/pcb_switcher/README.md
  11. +44
    -0
      ZMHW_Modector/pcb_switcher/bom/bom_notes
  12. +176
    -0
      ZMHW_Modector/pcb_switcher/footprints/ARDUINO-101-SHIELD.kicad_mod
  13. +83
    -0
      ZMHW_Modector/pcb_switcher/footprints/ARDUINO-101-SHIELD_EASYTOSOLDER_VERS.kicad_mod
  14. +3
    -0
      ZMHW_Modector/pcb_switcher/fp-lib-table
  15. BIN
      ZMHW_Modector/pcb_switcher/gerbers_rev1/modectorswitcher.zip
  16. +2325
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-B.Cu.gbl
  17. +14
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-B.Fab.gbr
  18. +1715
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-B.Mask.gbs
  19. +15
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-B.Paste.gbp
  20. +15
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-B.SilkS.gbo
  21. +52
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-Edge.Cuts.gm1
  22. +6305
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-F.Cu.gtl
  23. +2056
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-F.Fab.gbr
  24. +2883
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-F.Mask.gts
  25. +2027
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-F.Paste.gtp
  26. +4244
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-F.SilkS.gto
  27. +123
    -0
      ZMHW_Modector/pcb_switcher/gerbers_rev1/uno.drl
  28. +42
    -0
      ZMHW_Modector/pcb_switcher/rescue-backup/uno-2018-11-12-22-49-42.pro
  29. +343
    -0
      ZMHW_Modector/pcb_switcher/rescue-backup/uno-2018-11-12-22-49-42.sch
  30. +145
    -0
      ZMHW_Modector/pcb_switcher/rescue-backup/uno-cache-2018-11-12-22-49-42.lib
  31. BIN
      ZMHW_Modector/pcb_switcher/resources/MC34063A-D.PDF
  32. BIN
      ZMHW_Modector/pcb_switcher/resources/MC34064-D.PDF
  33. BIN
      ZMHW_Modector/pcb_switcher/resources/lm2595.pdf
  34. +3
    -0
      ZMHW_Modector/pcb_switcher/sym-lib-table
  35. +431
    -0
      ZMHW_Modector/pcb_switcher/uno-cache.lib
  36. +3
    -0
      ZMHW_Modector/pcb_switcher/uno-rescue.dcm
  37. +406
    -0
      ZMHW_Modector/pcb_switcher/uno-rescue.lib
  38. +1068
    -0
      ZMHW_Modector/pcb_switcher/uno.bak
  39. +813
    -0
      ZMHW_Modector/pcb_switcher/uno.dsn
  40. +2466
    -0
      ZMHW_Modector/pcb_switcher/uno.kicad_pcb
  41. +2466
    -0
      ZMHW_Modector/pcb_switcher/uno.kicad_pcb-bak
  42. +689
    -0
      ZMHW_Modector/pcb_switcher/uno.net
  43. +40
    -0
      ZMHW_Modector/pcb_switcher/uno.pro
  44. +79
    -0
      ZMHW_Modector/pcb_switcher/uno.rules
  45. +1068
    -0
      ZMHW_Modector/pcb_switcher/uno.sch
  46. +1485
    -0
      ZMHW_Modector/pcb_switcher/uno.ses

+ 2
- 2
ZMHW_Modector/docs/14.log View File

@ -1,4 +1,4 @@
This is pdfTeX, Version 3.14159265-2.6-1.40.17 (TeX Live 2016/Debian) (preloaded format=pdflatex 2019.8.17) 22 NOV 2019 23:57
This is pdfTeX, Version 3.14159265-2.6-1.40.17 (TeX Live 2016/Debian) (preloaded format=pdflatex 2019.8.17) 6 DEC 2019 19:02
entering extended mode
restricted \write18 enabled.
%&-line parsing enabled.
@ -364,7 +364,7 @@ sr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr6.pfb>
/texmf-dist/fonts/type1/public/amsfonts/cm/cmr9.pfb></usr/share/texlive/texmf-d
ist/fonts/type1/public/amsfonts/cm/cmsy10.pfb></usr/share/texlive/texmf-dist/fo
nts/type1/public/amsfonts/cm/cmtt10.pfb>
Output written on 14.pdf (13 pages, 1515773 bytes).
Output written on 14.pdf (13 pages, 1515973 bytes).
PDF statistics:
92 PDF objects out of 1000 (max. 8388607)
58 compressed objects within 1 object stream

BIN
ZMHW_Modector/docs/14.pdf View File


+ 26
- 0
ZMHW_Modector/docs/15.aux View File

@ -0,0 +1,26 @@
\relax
\@writefile{toc}{\contentsline {section}{\numberline {1}Overview}{1}}
\@writefile{toc}{\contentsline {section}{\numberline {2}Parts List}{2}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.1}Other Sensors}{3}}
\@writefile{toc}{\contentsline {section}{\numberline {3}Work Log}{3}}
\@writefile{toc}{\contentsline {subsection}{\numberline {3.1}Sick Motion Sensor}{3}}
\@writefile{lof}{\contentsline {figure}{\numberline {1}{\ignorespaces Testing the Sick IR Diode Tripwire \relax }}{4}}
\@writefile{toc}{\contentsline {subsection}{\numberline {3.2}Diode on Output of Sick Sensor instead of Transistor (Hack)}{4}}
\@writefile{toc}{\contentsline {subsection}{\numberline {3.3}Broken ENC28J60 Module}{5}}
\@writefile{toc}{\contentsline {subsection}{\numberline {3.4}Installation Log in Pictures}{5}}
\@writefile{lof}{\contentsline {figure}{\numberline {2}{\ignorespaces \relax }}{5}}
\@writefile{lof}{\contentsline {figure}{\numberline {3}{\ignorespaces \relax }}{6}}
\@writefile{lof}{\contentsline {figure}{\numberline {4}{\ignorespaces \relax }}{6}}
\@writefile{lof}{\contentsline {figure}{\numberline {5}{\ignorespaces Part 1 of sensor. By mounting it on the right side of a project box, we can get a 90 degree angle.\relax }}{6}}
\@writefile{lof}{\contentsline {figure}{\numberline {6}{\ignorespaces Arduino and Part 2 of sensor. Lined up with the other part.\relax }}{7}}
\@writefile{toc}{\contentsline {section}{\numberline {4}Omrom Photoelectric IR Emitter/Receiver}{7}}
\@writefile{lof}{\contentsline {figure}{\numberline {7}{\ignorespaces Omrom 'Photoelectric' IR Emitter and Receiver Pair. Notice the two diodes behind the black cover on the right side.\relax }}{7}}
\@writefile{lof}{\contentsline {figure}{\numberline {8}{\ignorespaces IR in action. (without a reflective sticker, it doesn't go very far!)\relax }}{8}}
\@writefile{toc}{\contentsline {section}{\numberline {5}Using the HFS-DC06H Microwave Sensor}{8}}
\@writefile{toc}{\contentsline {subsection}{\numberline {5.1}Uno Memory Limitations}{9}}
\@writefile{lof}{\contentsline {figure}{\numberline {9}{\ignorespaces First capture is correct. Second one, the lack of RAM causes the ENC to fail to send data to ZMTrigger.\relax }}{11}}
\@writefile{toc}{\contentsline {section}{\numberline {6}Deployment}{12}}
\@writefile{toc}{\contentsline {subsection}{\numberline {6.1}Work Log 1/31/19}{12}}
\@writefile{toc}{\contentsline {subsection}{\numberline {6.2}The need for POE}{13}}
\@writefile{toc}{\contentsline {subsection}{\numberline {6.3}HB100 are Sensitive to Fluorescent Lighting and Mains}{13}}
\@writefile{toc}{\contentsline {subsection}{\numberline {6.4}Switcher Shield}{13}}

+ 379
- 0
ZMHW_Modector/docs/15.log View File

@ -0,0 +1,379 @@
This is pdfTeX, Version 3.14159265-2.6-1.40.17 (TeX Live 2016/Debian) (preloaded format=pdflatex 2019.8.17) 6 DEC 2019 19:05
entering extended mode
restricted \write18 enabled.
%&-line parsing enabled.
**/home/layoutdev/Desktop/code/documentation_general/Electronics_Projects_2019/
ZMHW_Modector/docs/15.tex
(/home/layoutdev/Desktop/code/documentation_general/Electronics_Projects_2019/Z
MHW_Modector/docs/15.tex
LaTeX2e <2017/01/01> patch level 3
Babel <3.9r> and hyphenation patterns for 3 language(s) loaded.
(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls
Document Class: article 2014/09/29 v1.4h Standard LaTeX document class
(/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo
File: size11.clo 2014/09/29 v1.4h Standard LaTeX file (size option)
)
\c@part=\count79
\c@section=\count80
\c@subsection=\count81
\c@subsubsection=\count82
\c@paragraph=\count83
\c@subparagraph=\count84
\c@figure=\count85
\c@table=\count86
\abovecaptionskip=\skip41
\belowcaptionskip=\skip42
\bibindent=\dimen102
)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty
Package: graphicx 2014/10/28 v1.0g Enhanced LaTeX Graphics (DPC,SPQR)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty
Package: keyval 2014/10/28 v1.15 key=value parser (DPC)
\KV@toks@=\toks14
)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty
Package: graphics 2016/10/09 v1.0u Standard LaTeX Graphics (DPC,SPQR)
(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty
Package: trig 2016/01/03 v1.10 sin cos tan (DPC)
)
(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg
File: graphics.cfg 2016/06/04 v1.11 sample graphics configuration
)
Package graphics Info: Driver file: pdftex.def on input line 99.
(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def
File: pdftex.def 2017/01/12 v0.06k Graphics/color for pdfTeX
(/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty
Package: infwarerr 2016/05/16 v1.4 Providing info/warning/error messages (HO)
)
(/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty
Package: ltxcmds 2016/05/16 v1.23 LaTeX kernel commands for general use (HO)
)
\Gread@gobject=\count87
))
\Gin@req@height=\dimen103
\Gin@req@width=\dimen104
)
(/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty
Package: caption 2016/02/21 v3.3-144 Customizing captions (AR)
(/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty
Package: caption3 2016/05/22 v1.7-166 caption3 kernel (AR)
Package caption3 Info: TeX engine: e-TeX on input line 67.
\captionmargin=\dimen105
\captionmargin@=\dimen106
\captionwidth=\dimen107
\caption@tempdima=\dimen108
\caption@indent=\dimen109
\caption@parindent=\dimen110
\caption@hangindent=\dimen111
)
\c@ContinuedFloat=\count88
) (./15.aux)
\openout1 = `15.aux'.
LaTeX Font Info: Checking defaults for OML/cmm/m/it on input line 9.
LaTeX Font Info: ... okay on input line 9.
LaTeX Font Info: Checking defaults for T1/cmr/m/n on input line 9.
LaTeX Font Info: ... okay on input line 9.
LaTeX Font Info: Checking defaults for OT1/cmr/m/n on input line 9.
LaTeX Font Info: ... okay on input line 9.
LaTeX Font Info: Checking defaults for OMS/cmsy/m/n on input line 9.
LaTeX Font Info: ... okay on input line 9.
LaTeX Font Info: Checking defaults for OMX/cmex/m/n on input line 9.
LaTeX Font Info: ... okay on input line 9.
LaTeX Font Info: Checking defaults for U/cmr/m/n on input line 9.
LaTeX Font Info: ... okay on input line 9.
(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii
[Loading MPS to PDF converter (version 2006.09.02).]
\scratchcounter=\count89
\scratchdimen=\dimen112
\scratchbox=\box26
\nofMPsegments=\count90
\nofMParguments=\count91
\everyMPshowfont=\toks15
\MPscratchCnt=\count92
\MPscratchDim=\dimen113
\MPnumerator=\count93
\makeMPintoPDFobject=\count94
\everyMPtoPDFconversion=\toks16
) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/pdftexcmds.sty
Package: pdftexcmds 2016/05/21 v0.22 Utility functions of pdfTeX for LuaTeX (HO
)
(/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty
Package: ifluatex 2016/05/16 v1.4 Provides the ifluatex switch (HO)
Package ifluatex Info: LuaTeX not detected.
)
(/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty
Package: ifpdf 2016/05/14 v3.1 Provides the ifpdf switch
)
Package pdftexcmds Info: LuaTeX not detected.
Package pdftexcmds Info: \pdf@primitive is available.
Package pdftexcmds Info: \pdf@ifprimitive is available.
Package pdftexcmds Info: \pdfdraftmode found.
)
(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty
Package: epstopdf-base 2016/05/15 v2.6 Base part for package epstopdf
(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty
Package: grfext 2016/05/16 v1.2 Manage graphics extensions (HO)
(/usr/share/texlive/texmf-dist/tex/generic/oberdiek/kvdefinekeys.sty
Package: kvdefinekeys 2016/05/16 v1.4 Define keys (HO)
))
(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty
Package: kvoptions 2016/05/16 v3.12 Key value format for package options (HO)
(/usr/share/texlive/texmf-dist/tex/generic/oberdiek/kvsetkeys.sty
Package: kvsetkeys 2016/05/16 v1.17 Key value parser (HO)
(/usr/share/texlive/texmf-dist/tex/generic/oberdiek/etexcmds.sty
Package: etexcmds 2016/05/16 v1.6 Avoid name clashes with e-TeX commands (HO)
Package etexcmds Info: Could not find \expanded.
(etexcmds) That can mean that you are not using pdfTeX 1.50 or
(etexcmds) that some package has redefined \expanded.
(etexcmds) In the latter case, load this package earlier.
)))
Package epstopdf-base Info: Redefining graphics rule for `.eps' on input line 4
38.
Package grfext Info: Graphics extension search list:
(grfext) [.png,.pdf,.jpg,.mps,.jpeg,.jbig2,.jb2,.PNG,.PDF,.JPG,.JPE
G,.JBIG2,.JB2,.eps]
(grfext) \AppendGraphicsExtensions on input line 456.
(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg
File: epstopdf-sys.cfg 2010/07/13 v1.3 Configuration of (r)epstopdf for TeX Liv
e
))
Package caption Info: Begin \AtBeginDocument code.
Package caption Info: End \AtBeginDocument code.
LaTeX Font Info: External font `cmex10' loaded for size
(Font) <12> on input line 11.
LaTeX Font Info: External font `cmex10' loaded for size
(Font) <8> on input line 11.
LaTeX Font Info: External font `cmex10' loaded for size
(Font) <6> on input line 11.
(./15.toc
LaTeX Font Info: External font `cmex10' loaded for size
(Font) <10.95> on input line 3.
)
\tf@toc=\write3
\openout3 = `15.toc'.
Overfull \hbox (11.59073pt too wide) in paragraph at lines 16--17
\OT1/cmr/m/n/10.95 stal-la-tions. These sen-sors use ZMTrig-ger.pl (wiki.zonemi
nder.com/ZMTrigger)
[]
[1
{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}]
LaTeX Font Info: Try loading font information for OMS+cmr on input line 21.
(/usr/share/texlive/texmf-dist/tex/latex/base/omscmr.fd
File: omscmr.fd 2014/09/29 v2.5h Standard LaTeX font definitions
)
LaTeX Font Info: Font shape `OMS/cmr/m/n' in size <10.95> not available
(Font) Font shape `OMS/cmsy/m/n' tried instead on input line 21.
[2]
<../pics/DSCN0207.JPG, id=14, 803.0pt x 602.25pt>
File: ../pics/DSCN0207.JPG Graphic file (type jpg)
<use ../pics/DSCN0207.JPG>
Package pdftex.def Info: ../pics/DSCN0207.JPG used on input line 53.
(pdftex.def) Requested size: 321.1943pt x 240.89572pt.
Package caption Warning: \captionsetup{type*=...} or \captionof
(caption) outside box or environment on input line 54.
See the caption package documentation for explanation.
[3]
LaTeX Font Info: External font `cmex10' loaded for size
(Font) <9> on input line 56.
LaTeX Font Info: External font `cmex10' loaded for size
(Font) <5> on input line 56.
[4 <../pics/DSCN0207.JPG>]
<../pics/DSCN0217.JPG, id=24, 803.0pt x 602.25pt>
File: ../pics/DSCN0217.JPG Graphic file (type jpg)
<use ../pics/DSCN0217.JPG>
Package pdftex.def Info: ../pics/DSCN0217.JPG used on input line 73.
(pdftex.def) Requested size: 200.74951pt x 150.56212pt.
<../pics/DSCN0220.JPG, id=25, 803.0pt x 602.25pt>
File: ../pics/DSCN0220.JPG Graphic file (type jpg)
<use ../pics/DSCN0220.JPG>
Package pdftex.def Info: ../pics/DSCN0220.JPG used on input line 76.
(pdftex.def) Requested size: 200.74951pt x 150.56212pt.
[5 <../pics/DSCN0217.JPG>] <../pics/DSCN0225.JPG, id=29, 803.0pt x 602.25pt>
File: ../pics/DSCN0225.JPG Graphic file (type jpg)
<use ../pics/DSCN0225.JPG>
Package pdftex.def Info: ../pics/DSCN0225.JPG used on input line 79.
(pdftex.def) Requested size: 200.74951pt x 150.56212pt.
<../pics/DSCN0227.JPG, id=30, 803.0pt x 602.25pt>
File: ../pics/DSCN0227.JPG Graphic file (type jpg)
<use ../pics/DSCN0227.JPG>
Package pdftex.def Info: ../pics/DSCN0227.JPG used on input line 82.
(pdftex.def) Requested size: 200.74951pt x 150.56212pt.
<../pics/walloutlet2.jpg, id=31, 481.8pt x 642.4pt>
File: ../pics/walloutlet2.jpg Graphic file (type jpg)
<use ../pics/walloutlet2.jpg>
Package pdftex.def Info: ../pics/walloutlet2.jpg used on input line 85.
(pdftex.def) Requested size: 120.44969pt x 160.59961pt.
[6 <../pics/DSCN0220.JPG> <../pics/DSCN0225.JPG> <../pics/DSCN0227.JPG>] <../p
ics/DSCN0265.JPG, id=35, 803.0pt x 602.25pt>
File: ../pics/DSCN0265.JPG Graphic file (type jpg)
<use ../pics/DSCN0265.JPG>
Package pdftex.def Info: ../pics/DSCN0265.JPG used on input line 94.
(pdftex.def) Requested size: 200.74951pt x 150.56212pt.
[7 <../pics/walloutlet2.jpg> <../pics/DSCN0265.JPG>]
Overfull \hbox (7.91602pt too wide) in paragraph at lines 112--112
[] \OT1/cmtt/m/n/10.95 is. To use with 5v ttl (using a second 5v source) wire a
s such:[]
[]
<../pics/DSCN0273.JPG, id=40, 803.0pt x 602.25pt>
File: ../pics/DSCN0273.JPG Graphic file (type jpg)
<use ../pics/DSCN0273.JPG>
Package pdftex.def Info: ../pics/DSCN0273.JPG used on input line 115.
(pdftex.def) Requested size: 200.74951pt x 150.56212pt.
[8 <../pics/DSCN0273.JPG>]
Missing character: There is no â in font cmr10!
Missing character: There is no € in font cmr10!
Missing character: There is no “ in font cmr10!
Missing character: There is no â in font cmr10!
Missing character: There is no € in font cmr10!
Missing character: There is no “ in font cmr10!
Missing character: There is no â in font cmtt10!
Missing character: There is no € in font cmtt10!
Missing character: There is no œ in font cmtt10!
Missing character: There is no â in font cmtt10!
Missing character: There is no € in font cmtt10!
Missing character: There is no ™ in font cmtt10!
Missing character: There is no  in font cmtt10!
Missing character: There is no   in font cmtt10!
Missing character: There is no  in font cmtt10!
Missing character: There is no   in font cmtt10!
Overfull \hbox (94.14633pt too wide) in paragraph at lines 146--146
[]\OT1/cmtt/m/n/10.95 Heres a small utility function which determines how much
RAM iscurrentlyunused:[]
[]
Overfull \hbox (71.15158pt too wide) in paragraph at lines 146--146
[] \OT1/cmtt/m/n/10.95 return (int) &v - (__brkval == 0 ? (int) &__heap_start
: (int) __brkval);[]
[]
Missing character: There is no â in font cmtt10!
Missing character: There is no € in font cmtt10!
Missing character: There is no ™ in font cmtt10!
Missing character: There is no â in font cmtt10!
Missing character: There is no € in font cmtt10!
Missing character: There is no œ in font cmtt10!
Underfull \hbox (badness 4391) in paragraph at lines 149--153
[]\OT1/cmr/m/n/10.95 An easy res-o-lu-tion for this is to put all se-rial.print
lines into
[]
Underfull \hbox (badness 1158) in paragraph at lines 149--153
\OT1/cmr/m/n/10.95 flash mem-ory. You can ver-ify this helps, by tak-ing a se-r
ial.print,
[]
Underfull \hbox (badness 2426) in paragraph at lines 149--153
\OT1/cmr/m/n/10.95 and com-ment-ing it out, and com-par-ing the be-fore and af-
ter dy-
[]
Underfull \hbox (badness 1546) in paragraph at lines 149--153
\OT1/cmr/m/n/10.95 namic mem-ory used in ver-ify. To put se-rial print lines in
flash:
[]
Overfull \hbox (67.99345pt too wide) in paragraph at lines 149--153
\OT1/cmr/m/n/10.95 (https://www.arduino.cc/reference/en/language/functions/comm
unication/serial/write/)
[]
Overfull \hbox (67.08095pt too wide) in paragraph at lines 149--153
\OT1/cmr/m/n/10.95 (https://www.arduino.cc/reference/en/language/functions/comm
unication/serial/print/)
[]
[9] <../pics/wshark1.jpg, id=48, 1018.55531pt x 883.80188pt>
File: ../pics/wshark1.jpg Graphic file (type jpg)
<use ../pics/wshark1.jpg>
Package pdftex.def Info: ../pics/wshark1.jpg used on input line 158.
(pdftex.def) Requested size: 509.27641pt x 441.89986pt.
Overfull \hbox (149.27641pt too wide) in paragraph at lines 158--159
[][]
[]
[10]
Missing character: There is no â in font cmr10!
Missing character: There is no € in font cmr10!
Missing character: There is no “ in font cmr10!
Missing character: There is no â in font cmr10!
Missing character: There is no € in font cmr10!
Missing character: There is no “ in font cmr10!
[11 <../pics/wshark1.jpg>]
Missing character: There is no â in font cmr10!
Missing character: There is no € in font cmr10!
Missing character: There is no � in font cmr10!
Missing character: There is no â in font cmr10!
Missing character: There is no € in font cmr10!
Missing character: There is no � in font cmr10!
[12]
Underfull \hbox (badness 10000) in paragraph at lines 193--193
[][]\OT1/cmr/m/n/9 https://www.eevblog.com/forum/beginners/jelly-bean-switching
-regulator-
[]
[13] (./15.aux) )
Here is how much of TeX's memory you used:
2645 strings out of 494945
42438 string characters out of 6181032
96817 words of memory out of 5000000
5921 multiletter control sequences out of 15000+600000
10198 words of font info for 36 fonts, out of 8000000 for 9000
14 hyphenation exceptions out of 8191
39i,8n,39p,658b,194s stack positions out of 5000i,500n,10000p,200000b,80000s
</usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/
cmbx10.pfb></usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmbx12
.pfb></usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr10.pfb></
usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb></usr/sha
re/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr6.pfb></usr/share/texli
ve/texmf-dist/fonts/type1/public/amsfonts/cm/cmr8.pfb></usr/share/texlive/texmf
-dist/fonts/type1/public/amsfonts/cm/cmr9.pfb></usr/share/texlive/texmf-dist/fo
nts/type1/public/amsfonts/cm/cmsy10.pfb></usr/share/texlive/texmf-dist/fonts/ty
pe1/public/amsfonts/cm/cmtt10.pfb>
Output written on 15.pdf (13 pages, 1519029 bytes).
PDF statistics:
92 PDF objects out of 1000 (max. 8388607)
58 compressed objects within 1 object stream
0 named destinations out of 1000 (max. 500000)
46 words of extra memory for PDF output out of 10000 (max. 10000000)

BIN
ZMHW_Modector/docs/15.pdf View File


+ 203
- 0
ZMHW_Modector/docs/15.tex View File

@ -0,0 +1,203 @@
\documentclass[11pt]{article}
%Gummi|065|=)
\usepackage{graphicx}
\usepackage{caption}
\title{\textbf{ZMHW Modector}}
\author{Steak Electronics}
\date{}
\begin{document}
\maketitle
\tableofcontents
\section{Overview}
Making and deploying a Motion Sensor for Zoneminder CCTV software installations. These sensors use ZMTrigger.pl (wiki.zoneminder.com/ZMTrigger) to activate an alarm on a camera for a period of time. The advantage of hardware motion sensors over the software detection of Zoneminder, is that the hardware motion sensors avoid some of the problems inherent in software detection, such as false positives from day-to-night, bugs, missed detections, and others.
I've tried different motion sensors. Let's start with the Infrared Laser Diode.
\section{Parts List}
\begin{itemize}
\item Arduino Uno (official recommended)(DIP recommended)
\item ENC28J60 ethernet module
\item Passive PoE adaptors for IP Cameras
\item Series 1A fuse
\item Sick WS15-D1130 Infrared Laser Diode Motion Sensor
\item General Purpose Diode (I used 1N4818 diode) (may also use transistor, per data sheet for Sick)
\item Jumper Wires
\item Copper Wire (22-26 gauge)
\item Enclosure
\item Ethernet Wire
\item (optional) Low Profile one and two gang wall outlet
\item (optional) Blank cover plate, for one and two wall gang wall outlet
\item (optional) Electrical tape (I prefer halfway decent electrical tape)
\item (optional) piezo speaker
\item (optional) extras of everything, in case anything fails
\end{itemize}
Later on we will try a different sensor. The HFS-DC06H. This sensor is a combination of an HB100 radio, with a decoding board that will read the signal and output a logic high or low. You may also want to try PIR sensors.
\subsection{Other Sensors}
\begin{itemize}
\item HFS-DC06H
\item PIR Sensor
\item Any other Laser Diode Sensor you like
\item Reflective tape
\end{itemize}
%\includegraphics[scale=0.8]{../pics/resistances.png}
%\captionof{figure}{Application Note resistance table}
\section{Work Log}
\subsection{Sick Motion Sensor}
The first tests were with the Sick diode sensor and receiver. This device is good for a doorway, where the door must be opened in order for people to pass. Putting it in the way of the door ensures that it will activate. It has a distance of at a max 15 feet or 3 meters. It is a laser type tripwire, which means it can be avoided, if someone knows where it is.
Device was assembled and using the ZMHW Modector source code. This is simply an Arduino sketch with UIPEthernet (to use the ENC28J60) (make sure CS is pin 10 on Uno). For more details see source code. Explaining the details is out of the spec of this doc. Simply put, the ENC28J60 is connected, the Sick sensor black wire is connected to Analog input 1, and a speaker is connected.
\includegraphics[scale=0.4]{../pics/DSCN0207.JPG}
\captionof{figure}{Testing the Sick IR Diode Tripwire }
Figure 1 shows two things, first off a diode connected in series with the output of the Sick sensor, and also the orange LED on the top of the sensor. The orange led will be green when there is no connection between the diodes and orange when the Diodes (or LEDs) are lined up correctly. When someone moves across the field of their vision, the orange LED will change to green.\footnote{This will later become important when installing the IR diode and receiver, as they must be lined up correctly.}
\subsection{Diode on Output of Sick Sensor instead of Transistor (Hack)}
Some IR diode / receiver pairs output a high or low. Some, like the Sick sensor, output a high or low (depending on whether you connect to white or black wire), however they are meant to be connected to a transistor, and thus if you connect it directly to a micro expecting it to go high or low, it will not. Being lazy, and seeking a quick solution, I put a 1N4819 in series with the output of the Sick sensor.
TODO: pictures showing waveforms
\footnote{This is possibly an issue of output impedance, but I will admit, at the time, I didn't bother to check.}
Using the black wire, it will be normally low and go high when motion is detected (the white wire is the opposite). If you connect to a micro it will fail to go high (why?). If you put a diode on the end in series, it will turn the normally low to a noisy normally low, and sometimes it will go between 2.5-5 volts in spikes. This allows us to use the ADC to read the Sick sensor, and avoid the use of adding a transistor in. The transistor would allow for a digitalRead to be used, but we have plenty of Analog inputs to use, so let's use one of those.
It's important to line up the emitter and receiver. If they are not lined up precisely, they will not get a sync, and the motion detection will fail. Thankfully, the diode outputs more of a cone, and less of a straight line, so some buffer is there. When the lights are dark, it is possible to see the red IR emitted if the distance is not too much.
\subsection{Broken ENC28J60 Module}
During my testing, I suddenly was unable to get an IP address. I checked the testsuite sketches, which didn't work, then began tearing down my setup, testing another Arduino and ENC module. It turned out, the ENC28J60 module failed on me. Make sure to buy backups.
\subsection{Installation Log in Pictures}
Here is an overview of what installing this in the wall might look like. One side is emitter, the other the receiver.
\includegraphics[scale=0.25]{../pics/DSCN0217.JPG}
\captionof{figure}{}
\includegraphics[scale=0.25]{../pics/DSCN0220.JPG}
\captionof{figure}{}
\includegraphics[scale=0.25]{../pics/DSCN0225.JPG}
\captionof{figure}{}
\includegraphics[scale=0.25]{../pics/DSCN0227.JPG}
\captionof{figure}{Part 1 of sensor. By mounting it on the right side of a project box, we can get a 90 degree angle.}
\includegraphics[scale=0.25]{../pics/walloutlet2.jpg}
\captionof{figure}{Arduino and Part 2 of sensor. Lined up with the other part.}
\section{Omrom Photoelectric IR Emitter/Receiver}
All electronics is currently selling used Omrom photoelectric sensors, they are model: e3f2-r2c4. These types of photoelectric sensors are from a large catalog of different types. Some AC some DC powered. Different max distance, etc... See resources in this git repository for some PDFs.
I tested one without knowing how to use them, but had poor results. I was only able to get the light to flash when I dismantled the device, and put my hand very close to the IR. Teardown pictures are in the photos folder. The devices were not easy to dismantle, and can't really be put back together as they were originally. However, they did seem otherwise well made. \footnote{Repairs may be difficult.}
\includegraphics[scale=0.25]{../pics/DSCN0265.JPG}
\captionof{figure}{Omrom 'Photoelectric' IR Emitter and Receiver Pair. Notice the two diodes behind the black cover on the right side.}
After finding some documentation on these in the reviews, I found out that the IR emitters require a reflective sticker in order to 'see' their IR beam reflect back. Not just a white surface, but the type of reflector you might see on a construction or night worker orange vest.
Hookup Instructions from All Electronics Comments:
\begin{verbatim}
is. To use with 5v ttl (using a second 5v source) wire as such:
Brown to +12V; Blue to ground; Pink to either +12 or ground
depending whether you want Light-ON or Dark-ON mode;
Black to a 4.7K resistor with the other side of the resistor
connected to a separate +5V source (the arduino). The 5v ttl
signal is at the point where the black wire connects to the
resistor.
\end{verbatim}
One thing I also noticed, was that used photo electric sensors from brand names can be obtained for discounts on the auction sites, to see if a good deal can be had. When buying them new, they can be relatively expensive for a hobbyist working out of his/her garage.
\includegraphics[scale=0.25]{../pics/DSCN0273.JPG}
\captionof{figure}{IR in action. (without a reflective sticker, it doesn't go very far!)}
\section{Using the HFS-DC06H Microwave Sensor}
As I've tried with the HB100 (just the RF part of this HFS-DC06H), without success, I've moved to the HFS-DC06H microwave sensor which includes the Op Amp and accompanying circuitry (it simply outputs a digital high or low).
During testing, I found that the HFS sensor would not work correctly with my laptops usb 2.0 power supply. I thought it might be RF interferance from the metallic perf board – something I've seen before with an FM bug radio – but it was not. The HFS and the ENC require external power that is greater than my laptop can output.
\subsection{Uno Memory Limitations}
Using ethernet with the Uno is always touchy. Version control is important, to have a functional version to work off of.
When writing my code, I found errors creep in due to using too much of what the Arduino IDE calls dynamic memory. You can see how much dynamic memory is used in Arduino by hitting verify (not upload but verify)and reading the output from the toolchain. You can also use a tool to see how much SRAM is used (code is online:https://jeelabs.org/2011/05/22/atmega-memory-use/) the following function:
\begin{verbatim}
“Here’s a small utility function which determines how much RAM is currently unused:
int freeRam () {
extern int __heap_start, *__brkval;
int v;
return (int) &v - (__brkval == 0 ? (int) &__heap_start : (int) __brkval);
}
And here’s a sketch using that code:
void setup () {
Serial.begin(57600);
Serial.println("\n[memCheck]");
Serial.println(freeRam());
}
void loop () {}
The result will be:
[memCheck]
1846
\end{verbatim}
The UIPEthernet code requires significant RAM for the Uno. This is not a new problem for me, but it rears its ugly head again. However, this is a good thing. Limits are good.
An easy resolution for this is to put all serial.print lines into flash memory. You can verify this helps, by taking a serial.print, and commenting it out, and comparing the before and after dynamic memory used in verify. To put serial print lines in flash:
(https://www.arduino.cc/reference/en/language/functions/communication/serial/write/)
(https://www.arduino.cc/reference/en/language/functions/communication/serial/print/)
As I recall, there may be limitations to what you can do with Serial.print(F()), fotr example, converting variables into it will likely not work without further finesse, and libraries that print through serial likely won't work.
Low RAM errors can creep into strange places. For example, see these two wiresharks, where my code was running, equally as well, but the new code revision simply didn't work:
As you can see the data packet is mangled in the new rev. I've seen this enough to know, it was low SRAM. Otherwise, the code worked without major error. This small error effectively broke the program! Testing is always important, as well as saving multiple copies as you go, or alternatively version control.
\includegraphics[scale=0.5]{../pics/wshark1.jpg}
\captionof{figure}{First capture is correct. Second one, the lack of RAM causes the ENC to fail to send data to ZMTrigger.}
\section{Deployment}
Today I deployed both sensor boxes on site, connecting them to the ZM system. They both work\footnote{And have continued to work for about a year now}. I found that these HFS sensors appear to be fairly directional. I've been unable to get them to fire, when behind a wall, or up a floor, which is good – I don't want them to do that. The metal shield they have, seems to work well – blocking radio waves from going behind it. I mounted one on the ceiling and one on a wall, setting the cameras to nodect. Success.
However I have seen some issues with these, in the following situations.
\begin{itemize}
\item Mice in the ceiling, setting off the alarm
\item Flourescent lights being within 2 feet of the installation causing false alarms
\end{itemize}
Keep in mind, that if anything moves, it can set off the alarms. Including small animals.
I'm not sure exactly what frequency they are, but I think it is around 5GHz, comparable to 5GHz wifi (not going through walls well). I'm also considering putting some copper tape on the inside of the box, to help block anything through the wall it's mounted to, possibly...
When building this new shield I've made for the motion sensor, you can use flush cut pliers (the ones that cut really close to a board, if you are not familiar) and cut the WS2812 RGB LEDs right off of a \$3 RGB ring. You can also buy individual WS2812 LEDs. Cutting them off, is easier, and cheaper (and for those who run on a shoe-string budget) thus what I did here. There is a video I've made in the repo to show this process.
There's some things I'd like to add to the prototypes. First off, a light pipe, from the RGB LED to the box. Perhaps, 3D printed. Second, the RGB LED should change colours, very slightly when motion is detected. Not so much colour change that a casual glance will notice, but enough that if you are watching for it, you will see it.
\subsection{Work Log 1/31/19}
I'm assembling three of these for a company today. I can see right away some of the mistakes I made, and it's all DFA, or design for assembly errors.
I made a whole Arduino UNO shield, and that was a bad idea. I don't want to solder all of the 0.1” headers. There's a 10, two 8s, and a 6. It takes say 3-5 minutes per board. Too slow. I need to make the next shield with as few 0.1” headers as possible. Also, possibly a different connecter besides these pin headers would be better. Something easier to solder, perhaps reflow possible.
Another option would be to use a nano. Make assembly easy. That is very important. I also might want to consider a micro with built in ethernet, but for simplicity sake, for now Arduino Uno will do. Unos also are as future proof as you can get.
\subsection{The need for POE}
I deployed three of these in one company, and power wiring was an issue for one of them. One of them is going to require it's own 12V wiring (the other two worked with passive POE). Based on this, and knowing that there is a limit to what passive 12V POE can do, I've decided that I will use an 802.11 48v POE board for this application going forward. I don't want to take any chances with power being an issue. POE Injectors are relatively cheap. Back to the drawing board.
\subsection{HB100 are Sensitive to Fluorescent Lighting and Mains}
Do not install these HB100-based sensors near either mains or tube lights. They are sensitive, and will not operate correctly. I found that being within roughly 2 feet was too close. In my case, the logic high of the HB100 would go off randomly.
\subsection{Switcher Shield}
As much as I have a need for POE, I also have a need for speed, so I've decided to add a new shield that manages power, as a quicker solution to the poe problem. I put two different switchers on board. Both jelly bean parts per eevblog forums \footnote{https://www.eevblog.com/forum/beginners/jelly-bean-switching-regulator-alternative-to-7805/msg369867/\#msg369867}. I'll try each.
\end{document}

+ 204
- 0
ZMHW_Modector/docs/15.tex~ View File

@ -0,0 +1,204 @@
\documentclass[11pt]{article}
%Gummi|065|=)
\usepackage{graphicx}
\usepackage{caption}
\title{\textbf{ZMHW Modector}}
\author{Steak Electronics}
\date{}
\begin{document}
\maketitle
\tableofcontents
\section{Overview}
Making and deploying a Motion Sensor for Zoneminder CCTV software installations. These sensors use ZMTrigger.pl (wiki.zoneminder.com/ZMTrigger) to activate an alarm on a camera for a period of time. The advantage of hardware motion sensors over the software detection of Zoneminder, is that the hardware motion sensors avoid some of the problems inherent in software detection, such as false positives from day-to-night, bugs, missed detections, and others.
I've tried different motion sensors. Let's start with the Infrared Laser Diode.
\section{Parts List}
\begin{itemize}
\item Arduino Uno (official recommended)(DIP recommended)
\item ENC28J60 ethernet module
\item Passive PoE adaptors for IP Cameras
\item Series 1A fuse
\item Sick WS15-D1130 Infrared Laser Diode Motion Sensor
\item General Purpose Diode (I used 1N4818 diode) (may also use transistor, per data sheet for Sick)
\item Jumper Wires
\item Copper Wire (22-26 gauge)
\item Enclosure
\item Ethernet Wire
\item (optional) Low Profile one and two gang wall outlet
\item (optional) Blank cover plate, for one and two wall gang wall outlet
\item (optional) Electrical tape (I prefer halfway decent electrical tape)
\item (optional) piezo speaker
\item (optional) extras of everything, in case anything fails
\end{itemize}
Later on we will try a different sensor. The HFS-DC06H. This sensor is a combination of an HB100 radio, with a decoding board that will read the signal and output a logic high or low. You may also want to try PIR sensors.
\subsection{Other Sensors}
\begin{itemize}
\item HFS-DC06H
\item PIR Sensor
\item Any other Laser Diode Sensor you like
\item Reflective tape
\end{itemize}
%\includegraphics[scale=0.8]{../pics/resistances.png}
%\captionof{figure}{Application Note resistance table}
\section{Work Log}
\subsection{Sick Motion Sensor}
The first tests were with the Sick diode sensor and receiver. This device is good for a doorway, where the door must be opened in order for people to pass. Putting it in the way of the door ensures that it will activate. It has a distance of at a max 15 feet or 3 meters. It is a laser type tripwire, which means it can be avoided, if someone knows where it is.
Device was assembled and using the ZMHW Modector source code. This is simply an Arduino sketch with UIPEthernet (to use the ENC28J60) (make sure CS is pin 10 on Uno). For more details see source code. Explaining the details is out of the spec of this doc. Simply put, the ENC28J60 is connected, the Sick sensor black wire is connected to Analog input 1, and a speaker is connected.
\includegraphics[scale=0.4]{../pics/DSCN0207.JPG}
\captionof{figure}{Testing the Sick IR Diode Tripwire }
Figure 1 shows two things, first off a diode connected in series with the output of the Sick sensor, and also the orange LED on the top of the sensor. The orange led will be green when there is no connection between the diodes and orange when the Diodes (or LEDs) are lined up correctly. When someone moves across the field of their vision, the orange LED will change to green.\footnote{This will later become important when installing the IR diode and receiver, as they must be lined up correctly.}
\subsection{Diode on Output of Sick Sensor instead of Transistor (Hack)}
Some IR diode / receiver pairs output a high or low. Some, like the Sick sensor, output a high or low (depending on whether you connect to white or black wire), however they are meant to be connected to a transistor, and thus if you connect it directly to a micro expecting it to go high or low, it will not. Being lazy, and seeking a quick solution, I put a 1N4819 in series with the output of the Sick sensor.
TODO: pictures showing waveforms
\footnote{This is possibly an issue of output impedance, but I will admit, at the time, I didn't bother to check.}
Using the black wire, it will be normally low and go high when motion is detected (the white wire is the opposite). If you connect to a micro it will fail to go high (why?). If you put a diode on the end in series, it will turn the normally low to a noisy normally low, and sometimes it will go between 2.5-5 volts in spikes. This allows us to use the ADC to read the Sick sensor, and avoid the use of adding a transistor in. The transistor would allow for a digitalRead to be used, but we have plenty of Analog inputs to use, so let's use one of those.
It's important to line up the emitter and receiver. If they are not lined up precisely, they will not get a sync, and the motion detection will fail. Thankfully, the diode outputs more of a cone, and less of a straight line, so some buffer is there. When the lights are dark, it is possible to see the red IR emitted if the distance is not too much.
\subsection{Broken ENC28J60 Module}
During my testing, I suddenly was unable to get an IP address. I checked the testsuite sketches, which didn't work, then began tearing down my setup, testing another Arduino and ENC module. It turned out, the ENC28J60 module failed on me. Make sure to buy backups.
\subsection{Installation Log in Pictures}
Here is an overview of what installing this in the wall might look like. One side is emitter, the other the receiver.
\includegraphics[scale=0.25]{../pics/DSCN0217.JPG}
\captionof{figure}{}
\includegraphics[scale=0.25]{../pics/DSCN0220.JPG}
\captionof{figure}{}
\includegraphics[scale=0.25]{../pics/DSCN0225.JPG}
\captionof{figure}{}
\includegraphics[scale=0.25]{../pics/DSCN0227.JPG}
\captionof{figure}{Part 1 of sensor. By mounting it on the right side of a project box, we can get a 90 degree angle.}
\includegraphics[scale=0.25]{../pics/walloutlet2.jpg}
\captionof{figure}{Arduino and Part 2 of sensor. Lined up with the other part.}
\section{Omrom Photoelectric IR Emitter/Receiver}
All electronics is currently selling used Omrom photoelectric sensors, they are model: e3f2-r2c4. These types of photoelectric sensors are from a large catalog of different types. Some AC some DC powered. Different max distance, etc... See resources in this git repository for some PDFs.
I tested one without knowing how to use them, but had poor results. I was only able to get the light to flash when I dismantled the device, and put my hand very close to the IR. Teardown pictures are in the photos folder. The devices were not easy to dismantle, and can't really be put back together as they were originally. However, they did seem otherwise well made. \footnote{Repairs may be difficult.}
\includegraphics[scale=0.25]{../pics/DSCN0265.JPG}
\captionof{figure}{Omrom 'Photoelectric' IR Emitter and Receiver Pair. Notice the two diodes behind the black cover on the right side.}
After finding some documentation on these in the reviews, I found out that the IR emitters require a reflective sticker in order to 'see' their IR beam reflect back. Not just a white surface, but the type of reflector you might see on a construction or night worker orange vest.
Hookup Instructions from All Electronics Comments:
\begin{verbatim}
is. To use with 5v ttl (using a second 5v source) wire as such:
Brown to +12V; Blue to ground; Pink to either +12 or ground
depending whether you want Light-ON or Dark-ON mode;
Black to a 4.7K resistor with the other side of the resistor
connected to a separate +5V source (the arduino). The 5v ttl
signal is at the point where the black wire connects to the
resistor.
\end{verbatim}
One thing I also noticed, was that used photo electric sensors from brand names can be obtained for discounts on the auction sites, to see if a good deal can be had. When buying them new, they can be relatively expensive for a hobbyist working out of his/her garage.
\includegraphics[scale=0.25]{../pics/DSCN0273.JPG}
\captionof{figure}{IR in action. (without a reflective sticker, it doesn't go very far!)}
\section{Using the HFS-DC06H Microwave Sensor}
As I've tried with the HB100 (just the RF part of this HFS-DC06H), without success, I've moved to the HFS-DC06H microwave sensor which includes the Op Amp and accompanying circuitry (it simply outputs a digital high or low).
During testing, I found that the HFS sensor would not work correctly with my laptops usb 2.0 power supply. I thought it might be RF interferance from the metallic perf board – something I've seen before with an FM bug radio – but it was not. The HFS and the ENC require external power that is greater than my laptop can output.
\subsection{Uno Memory Limitations}
Using ethernet with the Uno is always touchy. Version control is important, to have a functional version to work off of.
When writing my code, I found errors creep in due to using too much of what the Arduino IDE calls dynamic memory. You can see how much dynamic memory is used in Arduino by hitting verify (not upload but verify)and reading the output from the toolchain. You can also use a tool to see how much SRAM is used (code is online:https://jeelabs.org/2011/05/22/atmega-memory-use/) the following function:
\begin{verbatim}
“Here’s a small utility function which determines how much RAM is currently unused:
int freeRam () {
extern int __heap_start, *__brkval;
int v;
return (int) &v - (__brkval == 0 ? (int) &__heap_start : (int) __brkval);
}
And here’s a sketch using that code:
void setup () {
Serial.begin(57600);
Serial.println("\n[memCheck]");
Serial.println(freeRam());
}
void loop () {}
The result will be:
[memCheck]
1846
\end{verbatim}
The UIPEthernet code requires significant RAM for the Uno. This is not a new problem for me, but it rears its ugly head again. However, this is a good thing. Limits are good.
An easy resolution for this is to put all serial.print lines into flash memory. You can verify this helps, by taking a serial.print, and commenting it out, and comparing the before and after dynamic memory used in verify. To put serial print lines in flash:
(https://www.arduino.cc/reference/en/language/functions/communication/serial/write/)
(https://www.arduino.cc/reference/en/language/functions/communication/serial/print/)
As I recall, there may be limitations to what you can do with Serial.print(F()), fotr example, converting variables into it will likely not work without further finesse, and libraries that print through serial likely won't work.
Low RAM errors can creep into strange places. For example, see these two wiresharks, where my code was running, equally as well, but the new code revision simply didn't work:
As you can see the data packet is mangled in the new rev. I've seen this enough to know, it was low SRAM. Otherwise, the code worked without major error. This small error effectively broke the program! Testing is always important, as well as saving multiple copies as you go, or alternatively version control.
\includegraphics[scale=0.5]{../pics/wshark1.jpg}
\captionof{figure}{First capture is correct. Second one, the lack of RAM causes the ENC to fail to send data to ZMTrigger.}
\section{Deployment}
Today I deployed both sensor boxes on site, connecting them to the ZM system. They both work\footnote{And have continued to work for about a year now}. I found that these HFS sensors appear to be fairly directional. I've been unable to get them to fire, when behind a wall, or up a floor, which is good – I don't want them to do that. The metal shield they have, seems to work well – blocking radio waves from going behind it. I mounted one on the ceiling and one on a wall, setting the cameras to nodect. Success.
However I have seen some issues with these, in the following situations.
\begin{itemize}
\item Mice in the ceiling, setting off the alarm
\item Flourescent lights being within 2 feet of the installation causing false alarms
\end{itemize}
Keep in mind, that if anything moves, it can set off the alarms. Including small animals.
I'm not sure exactly what frequency they are, but I think it is around 5GHz, comparable to 5GHz wifi (not going through walls well). I'm also considering putting some copper tape on the inside of the box, to help block anything through the wall it's mounted to, possibly...
When building this new shield I've made for the motion sensor, you can use flush cut pliers (the ones that cut really close to a board, if you are not familiar) and cut the WS2812 RGB LEDs right off of a \$3 RGB ring. You can also buy individual WS2812 LEDs. Cutting them off, is easier, and cheaper (and for those who run on a shoe-string budget) thus what I did here. There is a video I've made in the repo to show this process.
There's some things I'd like to add to the prototypes. First off, a light pipe, from the RGB LED to the box. Perhaps, 3D printed. Second, the RGB LED should change colours, very slightly when motion is detected. Not so much colour change that a casual glance will notice, but enough that if you are watching for it, you will see it.
\subsection{Work Log 1/31/19}
I'm assembling three of these for a company today. I can see right away some of the mistakes I made, and it's all DFA, or design for assembly errors.
I made a whole Arduino UNO shield, and that was a bad idea. I don't want to solder all of the 0.1” headers. There's a 10, two 8s, and a 6. It takes say 3-5 minutes per board. Too slow. I need to make the next shield with as few 0.1” headers as possible. Also, possibly a different connecter besides these pin headers would be better. Something easier to solder, perhaps reflow possible.
Another option would be to use a nano. Make assembly easy. That is very important. I also might want to consider a micro with built in ethernet, but for simplicity sake, for now Arduino Uno will do. Unos also are as future proof as you can get.
\subsection{The need for POE}
I deployed three of these in one company, and power wiring was an issue for one of them. One of them is going to require it's own 12V wiring (the other two worked with passive POE). Based on this, and knowing that there is a limit to what passive 12V POE can do, I've decided that I will use an 802.11 48v POE board for this application going forward. I don't want to take any chances with power being an issue. POE Injectors are relatively cheap. Back to the drawing board.
\subsection{HB100 are Sensitive to Fluorescent Lighting and Mains}
Do not install these HB100-based sensors near either mains or tube lights. They are sensitive, and will not operate correctly. I found that being within roughly 2 feet was too close. In my case, the logic high of the HB100 would go off randomly.
\subsection{Switcher Shield}
As
\end{document}

+ 16
- 0
ZMHW_Modector/docs/15.toc View File

@ -0,0 +1,16 @@
\contentsline {section}{\numberline {1}Overview}{1}
\contentsline {section}{\numberline {2}Parts List}{2}
\contentsline {subsection}{\numberline {2.1}Other Sensors}{3}
\contentsline {section}{\numberline {3}Work Log}{3}
\contentsline {subsection}{\numberline {3.1}Sick Motion Sensor}{3}
\contentsline {subsection}{\numberline {3.2}Diode on Output of Sick Sensor instead of Transistor (Hack)}{4}
\contentsline {subsection}{\numberline {3.3}Broken ENC28J60 Module}{5}
\contentsline {subsection}{\numberline {3.4}Installation Log in Pictures}{5}
\contentsline {section}{\numberline {4}Omrom Photoelectric IR Emitter/Receiver}{7}
\contentsline {section}{\numberline {5}Using the HFS-DC06H Microwave Sensor}{8}
\contentsline {subsection}{\numberline {5.1}Uno Memory Limitations}{9}
\contentsline {section}{\numberline {6}Deployment}{12}
\contentsline {subsection}{\numberline {6.1}Work Log 1/31/19}{12}
\contentsline {subsection}{\numberline {6.2}The need for POE}{13}
\contentsline {subsection}{\numberline {6.3}HB100 are Sensitive to Fluorescent Lighting and Mains}{13}
\contentsline {subsection}{\numberline {6.4}Switcher Shield}{13}

BIN
ZMHW_Modector/docs/fin.pdf View File


+ 13
- 0
ZMHW_Modector/pcb_switcher/README.md View File

@ -0,0 +1,13 @@
Gerbers:
Rev 1.45
Ethernet is still backwards, but I removed as many arduino
pins as possible to make soldering quicker. To improve upon
this:
* Fix Enc being backwards
* Use Nano instead of Uno (though the vreg might
not be as good, so may want on board switcher...)
Rev 1.49
Fixed backwards ENC pins.

+ 44
- 0
ZMHW_Modector/pcb_switcher/bom/bom_notes View File

@ -0,0 +1,44 @@
CAPACITORS
through hole
50RX30100M10X12.5
5mm lead spacing 14mm height, must be away from other shield
100uf and 470uf sizes
11,000 in stock
50 cents about
smd mount
EDT107M025S9HAA
kemet
cheapest
100uf
40 cents single qty.
6.6 footprint
25TXV100M6.3X8
rubycno
60 cents
100uf
25v (will it be ok through spikes?)
6.6 x 6.6 footprint
going through hole can save about 10 cents per cap
for my purposes, not needed
INDUCTORS
220uH
SRN1060-221MCT-ND
2k qty avail
10x10 about footprint (10x9.8)
1A current rating
going with that size footprint. seems there are others available.

+ 176
- 0
ZMHW_Modector/pcb_switcher/footprints/ARDUINO-101-SHIELD.kicad_mod View File

@ -0,0 +1,176 @@
(module Wickerlib:ARDUINO-101-SHIELD locked (layer F.Cu) (tedit 57283FB2)
(descr "Through hole socket strip")
(tags "socket strip")
(fp_text reference U5 (at 13.97 2.794) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value ARDUINO-101-SHIELD (at 13.97 4.318) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user SCL (at -3.9878 -45.041457 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user AREF (at 1.0414 -44.714885 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user SDA (at -1.4986 -45.023314 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user GND (at 3.5814 -44.9326 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start -5.7912 -46.5074) (end 20.5914 -46.51) (layer F.CrtYd) (width 0.05))
(fp_line (start -5.7912 -49.9872) (end -5.7912 -46.5074) (layer F.CrtYd) (width 0.05))
(fp_line (start 20.5914 -50.01) (end 20.5914 -46.51) (layer F.CrtYd) (width 0.05))
(fp_line (start -5.7912 -49.9872) (end 20.5914 -49.9872) (layer F.CrtYd) (width 0.05))
(fp_text user D13 (at 6.1722 -44.987029 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start -5.4102 -46.99) (end -5.4102 -49.53) (layer F.SilkS) (width 0.15))
(fp_text user D11 (at 11.2014 -44.987029 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 20.0914 -46.99) (end 20.0914 -49.53) (layer F.SilkS) (width 0.15))
(fp_text user D8 (at 18.8214 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user D9 (at 16.2814 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start -5.3848 -46.99) (end 20.0914 -46.99) (layer F.SilkS) (width 0.15))
(fp_text user D12 (at 8.6614 -44.987029 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user D10 (at 13.7414 -44.987029 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 20.0914 -49.53) (end -5.3848 -49.53) (layer F.SilkS) (width 0.15))
(fp_line (start 21.1354 -46.51) (end 42.4354 -46.51) (layer F.CrtYd) (width 0.05))
(fp_line (start 21.1354 -50.01) (end 42.4354 -50.01) (layer F.CrtYd) (width 0.05))
(fp_line (start 42.4354 -50.01) (end 42.4354 -46.51) (layer F.CrtYd) (width 0.05))
(fp_line (start 21.1354 -50.01) (end 21.1354 -46.51) (layer F.CrtYd) (width 0.05))
(fp_text user D3 (at 33.0454 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 21.5138 -46.99) (end 41.9354 -46.99) (layer F.SilkS) (width 0.15))
(fp_text user D7 (at 22.9362 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user D6 (at 25.4254 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 21.5138 -46.99) (end 21.5138 -49.53) (layer F.SilkS) (width 0.15))
(fp_text user D5 (at 27.9654 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user D4 (at 30.5054 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 41.9354 -49.53) (end 21.5138 -49.53) (layer F.SilkS) (width 0.15))
(fp_text user D1 (at 38.1254 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user D0 (at 40.6654 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 41.9354 -46.99) (end 41.9354 -49.53) (layer F.SilkS) (width 0.15))
(fp_text user D2 (at 35.5854 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 26.2154 1.7754) (end 42.4354 1.7754) (layer F.CrtYd) (width 0.05))
(fp_line (start 42.4354 -1.7246) (end 42.4354 1.7754) (layer F.CrtYd) (width 0.05))
(fp_line (start 26.2154 -1.7246) (end 26.2154 1.7754) (layer F.CrtYd) (width 0.05))
(fp_line (start 26.2154 -1.7246) (end 42.4354 -1.7246) (layer F.CrtYd) (width 0.05))
(fp_text user A2 (at 33.0454 -2.688771 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 26.5938 1.2954) (end 26.5938 -1.2446) (layer F.SilkS) (width 0.15))
(fp_line (start 26.5938 1.2954) (end 41.9354 1.2954) (layer F.SilkS) (width 0.15))
(fp_text user A1 (at 30.5054 -2.688771 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user A0 (at 27.9654 -2.688771 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user A5 (at 40.6654 -2.688771 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user A3 (at 35.5854 -2.688771 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user A4 (at 38.1254 -2.688771 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 41.9354 1.2954) (end 41.9354 -1.2446) (layer F.SilkS) (width 0.15))
(fp_line (start 41.9354 -1.2446) (end 26.5938 -1.2446) (layer F.SilkS) (width 0.15))
(fp_text user VIN (at 22.86 -2.906486 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user GND (at 20.32 -3.160486 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user GND (at 17.78 -3.160486 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user 5V (at 15.24 -2.688771 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user 3.3V (at 12.7 -3.233057 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user RST (at 10.16 -3.033485 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user IOREF (at 7.62 -3.6322 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 3.7084 1.27) (end 3.7084 -1.27) (layer F.SilkS) (width 0.15))
(fp_line (start 24.13 -1.27) (end 3.7084 -1.27) (layer F.SilkS) (width 0.15))
(fp_line (start 24.13 1.27) (end 24.13 -1.27) (layer F.SilkS) (width 0.15))
(fp_line (start 3.7084 1.27) (end 24.13 1.27) (layer F.SilkS) (width 0.15))
(fp_line (start 3.33 1.75) (end 24.63 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.33 -1.75) (end 24.63 -1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 24.63 -1.75) (end 24.63 1.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.33 -1.75) (end 3.33 1.75) (layer F.CrtYd) (width 0.05))
(fp_text user NC (at 5.1308 -2.779486 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(pad H1 thru_hole circle (at -7.62 -48.2092) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad SDA thru_hole oval (at -1.4986 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad SCL thru_hole oval (at -4.0386 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad GND3 thru_hole oval (at 3.5814 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad AREF thru_hole oval (at 1.0414 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D10 thru_hole oval (at 13.7414 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D9 thru_hole oval (at 16.2814 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D8 thru_hole oval (at 18.8214 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D11 thru_hole oval (at 11.2014 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D12 thru_hole oval (at 8.6614 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D13 thru_hole oval (at 6.1214 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D2 thru_hole oval (at 35.5854 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D3 thru_hole oval (at 33.0454 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D7 thru_hole oval (at 22.8854 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D6 thru_hole oval (at 25.4254 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D4 thru_hole oval (at 30.5054 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D5 thru_hole oval (at 27.9654 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D0 thru_hole oval (at 40.6654 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D1 thru_hole oval (at 38.1254 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad A3 thru_hole oval (at 35.5854 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad A4 thru_hole oval (at 38.1254 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad A5 thru_hole oval (at 40.6654 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad A2 thru_hole oval (at 33.0454 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad A1 thru_hole oval (at 30.5054 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad A0 thru_hole oval (at 27.9654 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad VIN thru_hole oval (at 22.86 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad GND2 thru_hole oval (at 20.32 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad GND1 thru_hole oval (at 17.78 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad 5V thru_hole oval (at 15.24 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad 3V3 thru_hole oval (at 12.7 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad RST thru_hole oval (at 10.16 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad IO thru_hole oval (at 7.62 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad NC thru_hole oval (at 5.08 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(model ${KIPRJMOD}/Socket_Arduino_Uno.3dshapes/Socket_header_Arduino_1x08.wrl
(offset (xyz 8.889999866485596 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)

+ 83
- 0
ZMHW_Modector/pcb_switcher/footprints/ARDUINO-101-SHIELD_EASYTOSOLDER_VERS.kicad_mod View File

@ -0,0 +1,83 @@
(module Wickerlib:ARDUINO-101-SHIELD locked (layer F.Cu) (tedit 5DC50B3F)
(descr "Through hole socket strip")
(tags "socket strip")
(fp_text reference U5 (at 14.5 -8.5) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "Easy To Solder Arduino Uno" (at 22.5 -11) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 20.5914 -50.01) (end 20.5914 -46.51) (layer F.CrtYd) (width 0.05))
(fp_text user D13 (at 6.1722 -44.987029 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user D11 (at 11.2014 -44.987029 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 20.0914 -46.99) (end 20.0914 -49.53) (layer F.SilkS) (width 0.15))
(fp_text user D8 (at 18.8214 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user D9 (at 16.2814 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user D12 (at 8.6614 -44.987029 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user D10 (at 13.7414 -44.987029 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 21.1354 -50.01) (end 21.1354 -46.51) (layer F.CrtYd) (width 0.05))
(fp_text user D7 (at 22.9362 -45.349886 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 21.5138 -46.99) (end 21.5138 -49.53) (layer F.SilkS) (width 0.15))
(fp_line (start 26.2154 -1.7246) (end 26.2154 1.7754) (layer F.CrtYd) (width 0.05))
(fp_text user A2 (at 33.0454 -2.688771 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 26.5938 1.2954) (end 26.5938 -1.2446) (layer F.SilkS) (width 0.15))
(fp_text user A1 (at 30.5054 -2.688771 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user A0 (at 27.9654 -2.688771 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user VIN (at 22.86 -2.906486 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user GND (at 20.32 -3.160486 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user GND (at 17.78 -3.160486 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user 5V (at 15.24 -2.688771 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_text user 3.3V (at 12.7 -3.233057 90) (layer F.SilkS)
(effects (font (size 0.762 0.762) (thickness 0.1524)))
)
(fp_line (start 24.13 1.27) (end 24.13 -1.27) (layer F.SilkS) (width 0.15))
(fp_line (start 24.63 -1.75) (end 24.63 1.75) (layer F.CrtYd) (width 0.05))
(pad D10 thru_hole oval (at 13.7414 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D9 thru_hole oval (at 16.2814 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D8 thru_hole oval (at 18.8214 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D11 thru_hole oval (at 11.2014 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D12 thru_hole oval (at 8.6614 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D13 thru_hole oval (at 6.1214 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad D7 thru_hole oval (at 22.8854 -48.26) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad A2 thru_hole oval (at 33.0454 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad A1 thru_hole oval (at 30.5054 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad A0 thru_hole oval (at 27.9654 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad VIN thru_hole oval (at 22.86 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad GND2 thru_hole oval (at 20.32 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad GND1 thru_hole oval (at 17.78 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad 5V thru_hole oval (at 15.24 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(pad 3V3 thru_hole oval (at 12.7 0) (size 1.7272 2.032) (drill 1.016) (layers *.Cu *.Mask F.SilkS))
(model ${KIPRJMOD}/Socket_Arduino_Uno.3dshapes/Socket_header_Arduino_1x08.wrl
(offset (xyz 8.889999866485596 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 180))
)
)

+ 3
- 0
ZMHW_Modector/pcb_switcher/fp-lib-table View File

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name footprints)(type KiCad)(uri ${KIPRJMOD}/footprints)(options "")(descr ""))
)

BIN
ZMHW_Modector/pcb_switcher/gerbers_rev1/modectorswitcher.zip View File


+ 2325
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-B.Cu.gbl
File diff suppressed because it is too large
View File


+ 14
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-B.Fab.gbr View File

@ -0,0 +1,14 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2019-12-06T02:16:18-05:00*
G04 #@! TF.ProjectId,uno,756e6f2e-6b69-4636-9164-5f7063625858,v1.0*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Other,Fab,Bot*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Fri 06 Dec 2019 02:16:18 AM EST*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 APERTURE END LIST*
M02*

+ 1715
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-B.Mask.gbs
File diff suppressed because it is too large
View File


+ 15
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-B.Paste.gbp View File

@ -0,0 +1,15 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2019-12-06T02:16:18-05:00*
G04 #@! TF.ProjectId,uno,756e6f2e-6b69-4636-9164-5f7063625858,v1.0*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Fri 06 Dec 2019 02:16:18 AM EST*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 APERTURE END LIST*
M02*

+ 15
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-B.SilkS.gbo View File

@ -0,0 +1,15 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2019-12-06T02:16:18-05:00*
G04 #@! TF.ProjectId,uno,756e6f2e-6b69-4636-9164-5f7063625858,v1.0*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Fri 06 Dec 2019 02:16:18 AM EST*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 APERTURE END LIST*
M02*

+ 52
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-Edge.Cuts.gm1 View File

@ -0,0 +1,52 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.2+dfsg1-1~bpo9+1*
G04 #@! TF.CreationDate,2019-12-06T02:16:18-05:00*
G04 #@! TF.ProjectId,uno,756e6f2e-6b69-4636-9164-5f7063625858,v1.0*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.2+dfsg1-1~bpo9+1) date Fri 06 Dec 2019 02:16:18 AM EST*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.152400*%
G04 APERTURE END LIST*
D10*
X38100000Y-96520000D02*
X38100000Y-99060000D01*
X45720000Y-96520000D02*
X38100000Y-96520000D01*
X45720000Y-81280000D02*
X45720000Y-96520000D01*
X48260000Y-81280000D02*
X45720000Y-81280000D01*
X48260000Y-55880000D02*
X48260000Y-81280000D01*
X66040000Y-55880000D02*
X48260000Y-55880000D01*
X81280000Y-48260000D02*
X66040000Y-55880000D01*
X96520000Y-48260000D02*
X81280000Y-48260000D01*
X106680000Y-55880000D02*
X96520000Y-48260000D01*
X111760000Y-55880000D02*
X106680000Y-55880000D01*
X111760000Y-88900000D02*
X111760000Y-55880000D01*
X116840000Y-93980000D02*
X111760000Y-88900000D01*
X116840000Y-114300000D02*
X116840000Y-93980000D01*
X53340000Y-114300000D02*
X116840000Y-114300000D01*
X53340000Y-111760000D02*
X53340000Y-114300000D01*
X53340000Y-106680000D02*
X53340000Y-111760000D01*
X38100000Y-106680000D02*
X53340000Y-106680000D01*
X38100000Y-99060000D02*
X38100000Y-106680000D01*
M02*

+ 6305
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-F.Cu.gtl
File diff suppressed because it is too large
View File


+ 2056
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-F.Fab.gbr
File diff suppressed because it is too large
View File


+ 2883
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-F.Mask.gts
File diff suppressed because it is too large
View File


+ 2027
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-F.Paste.gtp
File diff suppressed because it is too large
View File


+ 4244
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno-F.SilkS.gto
File diff suppressed because it is too large
View File


+ 123
- 0
ZMHW_Modector/pcb_switcher/gerbers_rev1/uno.drl View File

@ -0,0 +1,123 @@
M48
;DRILL file {KiCad 5.0.2+dfsg1-1~bpo9+1} date Fri 06 Dec 2019 02:16:19 AM EST
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ
T1C0.0130
T2C0.0315
T3C0.0394
T4C0.0400
T5C0.0433
T6C0.0630
%
G90
G05
T1
X2.1Y-4.
X2.15Y-3.95
X2.15Y-4.
X2.2Y-3.9
X2.2Y-3.95
X2.2Y-4.
X2.35Y-2.7
X2.4Y-2.65
X2.4Y-3.15
X2.4Y-3.35
X2.4Y-3.7
X2.4Y-3.75
X2.4Y-3.8
X2.4Y-3.85
X2.4Y-3.9
X2.4Y-3.95
X2.4Y-4.
X2.45Y-2.6
X2.45Y-3.2
X2.45Y-3.4
X2.45Y-3.75
X2.45Y-4.
X2.5Y-3.25
X2.5Y-3.45
X2.5Y-3.8
X2.5Y-4.
X2.55Y-3.3
X2.55Y-3.5
X2.55Y-3.85
X2.55Y-4.
X2.6Y-2.8
X2.6Y-3.35
X2.6Y-3.5
X2.6Y-3.9
X2.6Y-4.
X2.65Y-2.8
X2.65Y-3.35
X2.65Y-3.5
X2.65Y-3.95
X2.65Y-4.
X2.7Y-2.8
X2.7Y-3.35
X2.7Y-3.5
X2.7Y-4.
X2.75Y-2.8
X2.8Y-2.8
X2.85Y-2.8
X2.9Y-2.8
X2.95Y-2.6
X3.05Y-2.325
X3.05Y-2.65
X3.15Y-2.4196
X3.15Y-2.7
X3.3Y-2.4
X3.5Y-2.3
X3.5Y-2.5
X3.65Y-2.5
T2
X2.6531Y-2.557
X2.85Y-2.557
T3
X4.175Y-3.8
X2.05Y-3.15
X2.3Y-3.15
X2.3Y-3.25
X2.05Y-3.25
X3.525Y-3.35
X3.55Y-2.407
X1.575Y-3.975
X1.675Y-3.975
X1.85Y-3.975
X1.95Y-3.975
T4
X1.939Y-2.375
X2.039Y-2.375
X2.139Y-2.375
X2.198Y-4.275
X2.239Y-2.375
X2.298Y-4.275
X2.339Y-2.375
X2.398Y-4.275
X2.439Y-2.375
X2.498Y-4.275
X2.598Y-4.275
X2.599Y-2.375
X2.799Y-4.275
X2.899Y-4.275
X2.999Y-4.275
T5
X2.8Y-2.207
X3.2Y-2.207
X3.8293Y-4.0492
X3.8293Y-4.1831
X3.975Y-3.9823
X3.975Y-4.1161
X3.975Y-4.25
T6
X4.375Y-3.7
X4.375Y-4.3
T3
X1.8888Y-3.5356G85X1.8888Y-3.6144
G05
X1.9675Y-3.76G85X2.0463Y-3.76
G05
X2.125Y-3.5356G85X2.125Y-3.6144
G05
T0
M30

+ 42
- 0
ZMHW_Modector/pcb_switcher/rescue-backup/uno-2018-11-12-22-49-42.pro View File

@ -0,0 +1,42 @@
update=Tue 11 Oct 2016 05:25:07 PM PDT
version=1
last_client=kicad
[cvpcb]
version=1
NetIExt=net
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.016000000000
PcbTextSizeH=1.016000000000
PcbTextThickness=0.152400000000
ModuleTextSizeV=1.016000000000
ModuleTextSizeH=1.016000000000
ModuleTextSizeThickness=0.152400000000
SolderMaskClearance=0.003000000000
SolderMaskMinWidth=0.004000000000
DrawSegmentWidth=0.152400000000
BoardOutlineThickness=0.152400000000
ModuleOutlineThickness=0.152400000000
[eeschema]
version=1
LibDir=/home/wicker/wickerlib/libraries/
[eeschema/libraries]
LibName1=/home/wicker/wickerlib/libraries/wickerlib
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=
SpiceForceRefPrefix=0
SpiceUseNetNumbers=0
LabSize=50
[general]
version=1

+ 343
- 0
ZMHW_Modector/pcb_switcher/rescue-backup/uno-2018-11-12-22-49-42.sch View File

@ -0,0 +1,343 @@
EESchema Schematic File Version 2
LIBS:wickerlib
LIBS:arduino-101-cache
EELAYER 25 0
EELAYER END
$Descr USLetter 11000 8500
encoding utf-8
Sheet 1 1
Title "Project Title"
Date "2016-05-02"
Rev "1.0"
Comp "Released under the CERN Open Hardware License v1.2"
Comment1 "Project based on template adapted by jenner@wickerbox.net"
Comment2 "Original template by Jonathan (poulc13)"
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L ARDUINO-101-SHIELD U1
U 5 1 57282130
P 1325 1600
F 0 "U1" H 1175 1100 50 0001 L CNN
F 1 "HOLE" V 1125 1150 50 0001 L CNN
F 2 "ARDUINO-MOUNTING-HOLE" H 1325 1250 50 0001 C CIN
F 3 "https://www.adafruit.com/products/50" H 1325 1600 10 0001 C CNN
F 4 "Arduino" H 1325 1250 50 0001 C CIN "MF_Name"
F 5 "101" H 1325 1250 50 0001 C CIN "MF_PN"
F 6 "Adafruit" H 1325 1250 50 0001 C CIN "S1_Name"
F 7 "50" H 1325 1250 50 0001 C CIN "S1_PN"
F 8 "ARDUINO 101" H 1325 1250 50 0001 C CIN "Description"
5 1325 1600
1 0 0 -1
$EndComp
Text Notes 1225 1350 0 60 ~ 0
Arduino 101 Shield\nMounting Holes
$Comp
L ARDUINO-101-SHIELD U2
U 5 1 57290D61
P 1425 1600
F 0 "U2" H 1275 1100 50 0001 L CNN
F 1 "HOLE" V 1225 1150 50 0001 L CNN
F 2 "ARDUINO-MOUNTING-HOLE" H 1425 1250 50 0001 C CIN
F 3 "https://www.adafruit.com/products/50" H 1425 1600 5 0001 C CNN
F 4 "ARDU-101SHIELD" H 1425 1250 50 0001 C CIN "Package"
F 5 "Arduino" H 1425 1250 50 0001 C CIN "MF_Name"
F 6 "101" H 1425 1250 50 0001 C CIN "MF_PN"
F 7 "Adafruit" H 1425 1250 50 0001 C CIN "S1_Name"
F 8 "50" H 1425 1250 50 0001 C CIN "S1_PN"
F 9 "ARDUINO 101" H 1425 1250 50 0001 C CIN "Description"
F 10 "Not Verified" H 1425 1250 50 0001 C CIN "Verified"
5 1425 1600
1 0 0 -1
$EndComp
$Comp
L ARDUINO-101-SHIELD U3
U 5 1 57290D9E
P 1525 1600
F 0 "U3" H 1375 1100 50 0001 L CNN
F 1 "HOLE" V 1325 1150 50 0001 L CNN
F 2 "ARDUINO-MOUNTING-HOLE" H 1525 1250 50 0001 C CIN
F 3 "https://www.adafruit.com/products/50" H 1525 1600 5 0001 C CNN
F 4 "ARDU-101SHIELD" H 1525 1250 50 0001 C CIN "Package"
F 5 "Arduino" H 1525 1250 50 0001 C CIN "MF_Name"
F 6 "101" H 1525 1250 50 0001 C CIN "MF_PN"
F 7 "Adafruit" H 1525 1250 50 0001 C CIN "S1_Name"
F 8 "50" H 1525 1250 50 0001 C CIN "S1_PN"
F 9 "ARDUINO 101" H 1525 1250 50 0001 C CIN "Description"
F 10 "Not Verified" H 1525 1250 50 0001 C CIN "Verified"
5 1525 1600
1 0 0 -1
$EndComp
$Comp
L ARDUINO-101-SHIELD U4
U 5 1 57290DDB
P 1625 1600
F 0 "U4" H 1475 1100 50 0001 L CNN
F 1 "HOLE" V 1425 1150 50 0001 L CNN
F 2 "ARDUINO-MOUNTING-HOLE" H 1625 1250 50 0001 C CIN
F 3 "https://www.adafruit.com/products/50" H 1625 1600 5 0001 C CNN
F 4 "ARDU-101SHIELD" H 1625 1250 50 0001 C CIN "Package"
F 5 "Arduino" H 1625 1250 50 0001 C CIN "MF_Name"
F 6 "101" H 1625 1250 50 0001 C CIN "MF_PN"
F 7 "Adafruit" H 1625 1250 50 0001 C CIN "S1_Name"
F 8 "50" H 1625 1250 50 0001 C CIN "S1_PN"
F 9 "ARDUINO 101" H 1625 1250 50 0001 C CIN "Description"
F 10 "Not Verified" H 1625 1250 50 0001 C CIN "Verified"
5 1625 1600
1 0 0 -1
$EndComp
$Comp
L IOREF #PWR01
U 1 1 57282273
P 1675 2325
F 0 "#PWR01" H 1675 2175 50 0001 C CNN
F 1 "IOREF" H 1675 2465 50 0000 C CNN
F 2 "" H 1675 2325 50 0000 C CNN
F 3 "" H 1675 2325 50 0000 C CNN
1 1675 2325
1 0 0 -1
$EndComp
$Comp
L +3.3V #PWR02
U 1 1 5728229F
P 2025 2325
F 0 "#PWR02" H 2025 2175 50 0001 C CNN
F 1 "+3.3V" H 2025 2465 50 0000 C CNN
F 2 "" H 2025 2325 50 0000 C CNN
F 3 "" H 2025 2325 50 0000 C CNN
1 2025 2325
1 0 0 -1
$EndComp
$Comp
L +5V #PWR03
U 1 1 572822CB
P 2275 2325
F 0 "#PWR03" H 2275 2175 50 0001 C CNN
F 1 "+5V" H 2275 2465 50 0000 C CNN
F 2 "" H 2275 2325 50 0000 C CNN
F 3 "" H 2275 2325 50 0000 C CNN
1 2275 2325
1 0 0 -1
$EndComp
$Comp
L VIN #PWR04
U 1 1 572822F7
P 2500 2325
F 0 "#PWR04" H 2500 2175 50 0001 C CNN
F 1 "VIN" H 2500 2465 50 0000 C CNN
F 2 "" H 2500 2325 50 0000 C CNN
F 3 "" H 2500 2325 50 0000 C CNN
1 2500 2325
1 0 0 -1
$EndComp
Wire Wire Line
1525 2500 1675 2500
Wire Wire Line
1675 2500 1675 2325
Wire Wire Line
1525 2600 1600 2600
Wire Wire Line
1525 2700 2025 2700
Wire Wire Line
2025 2700 2025 2325
Wire Wire Line
1525 2800 2275 2800
Wire Wire Line
2275 2800 2275 2325
Wire Wire Line
1525 3100 2500 3100
Wire Wire Line
2500 3100 2500 2325
Wire Wire Line
1525 3000 1675 3000
Wire Wire Line
1675 2900 1675 3175
Wire Wire Line
1525 2900 1675 2900
Connection ~ 1675 3000
$Comp
L GND #PWR05
U 1 1 57282540
P 1675 3175
F 0 "#PWR05" H 1675 2925 50 0001 C CNN
F 1 "GND" H 1675 3025 50 0000 C CNN
F 2 "" H 1675 3175 50 0000 C CNN
F 3 "" H 1675 3175 50 0000 C CNN
1 1675 3175
1 0 0 -1
$EndComp
Text GLabel 1600 2600 2 60 Input ~ 0
RESET
Wire Wire Line
1525 3550 1850 3550
Wire Wire Line
1525 3650 1850 3650
Wire Wire Line
1525 3750 1850 3750
Wire Wire Line
1525 3850 1850 3850
Wire Wire Line
1525 3950 1850 3950
Wire Wire Line
1525 4050 1850 4050
Text Label 1600 3550 0 60 ~ 0
A0
Text Label 1600 3650 0 60 ~ 0
A1
Text Label 1600 3750 0 60 ~ 0
A2
Text Label 1600 3850 0 60 ~ 0
A3
Text Label 1600 3950 0 60 ~ 0
A4
Text Label 1600 4050 0 60 ~ 0
A5
Wire Wire Line
1525 4450 1850 4450
Wire Wire Line
1525 4550 1850 4550
Wire Wire Line
1525 4650 1850 4650
Wire Wire Line
1525 4750 1850 4750
Wire Wire Line
1525 4850 1850 4850
Wire Wire Line
1525 4950 1850 4950
Wire Wire Line
1525 5050 1850 5050
Wire Wire Line
1525 5150 1850 5150
Wire Wire Line
1525 5525 2000 5525
Wire Wire Line
1525 5625 2000 5625
Wire Wire Line
1525 5725 2000 5725
Wire Wire Line
1525 5825 2000 5825
Wire Wire Line
1525 5925 2000 5925
Wire Wire Line
1525 6025 2000 6025
Wire Wire Line
1525 6125 1675 6125
Wire Wire Line
1525 6225 2000 6225
Wire Wire Line
1525 6325 2000 6325
Wire Wire Line
1525 6425 2000 6425
Wire Wire Line
1675 6125 1675 6475
$Comp
L GND #PWR07
U 1 1 57283670
P 1675 6475
F 0 "#PWR07" H 1675 6225 50 0001 C CNN
F 1 "GND" H 1675 6325 50 0000 C CNN
F 2 "" H 1675 6475 50 0000 C CNN
F 3 "" H 1675 6475 50 0000 C CNN
1 1675 6475
1 0 0 -1
$EndComp
Text Label 1600 4450 0 60 ~ 0
D0
Text Label 1600 4550 0 60 ~ 0
D1
Text Label 1600 4650 0 60 ~ 0
D2
Text Label 1600 4750 0 60 ~ 0
D3
Text Label 1600 4850 0 60 ~ 0
D4
Text Label 1600 4950 0 60 ~ 0
D5
Text Label 1600 5050 0 60 ~ 0
D6
Text Label 1600 5150 0 60 ~ 0
D7
Text Label 1750 5525 0 60 ~ 0
D8
Text Label 1750 5625 0 60 ~ 0
D9
Text Label 1750 5725 0 60 ~ 0
D10
Text Label 1750 5825 0 60 ~ 0
D11
Text Label 1750 5925 0 60 ~ 0
D12
Text Label 1750 6025 0 60 ~ 0
D13
Text Label 1750 6325 0 60 ~ 0
A4
Text Label 1750 6425 0 60 ~ 0
A5
$Comp
L ARDUINO-101-SHIELD U5
U 1 1 572986CB
P 1375 2750
F 0 "U5" H 1225 2250 50 0000 L CNN
F 1 "ARDUINO-101-SHIELD" V 1175 2300 50 0001 L CNN
F 2 "Wickerlib:ARDUINO-UNO-SHIELD" H 1375 2400 50 0001 C CIN
F 3 "https://www.adafruit.com/products/3033" H 1375 2750 5 0001 C CNN
F 4 "Arduino" H 1375 2400 50 0001 C CIN "MF_Name"
F 5 "UNO R3" H 1375 2400 50 0001 C CIN "MF_PN"
F 6 "Adafruit" H 1375 2400 50 0001 C CIN "S1_Name"
F 7 "50" H 1375 2400 50 0001 C CIN "S1_PN"
F 8 "ARDUINO 101 SHIELD" H 1375 2400 50 0001 C CIN "Description"
1 1375 2750
1 0 0 -1
$EndComp
$Comp
L ARDUINO-101-SHIELD U5
U 2 1 572988F2
P 1375 3650
F 0 "U5" H 1225 3150 50 0000 L CNN
F 1 "ARDUINO-101-SHIELD" V 1175 3200 50 0001 L CNN
F 2 "Wickerlib:ARDUINO-UNO-SHIELD" H 1375 3300 50 0001 C CIN
F 3 "https://www.adafruit.com/products/3033" H 1375 3650 5 0001 C CNN
F 4 "Arduino" H 1375 3300 50 0001 C CIN "MF_Name"
F 5 "UNO R3" H 1375 3300 50 0001 C CIN "MF_PN"
F 6 "Adafruit" H 1375 3300 50 0001 C CIN "S1_Name"
F 7 "50" H 1375 3300 50 0001 C CIN "S1_PN"
F 8 "ARDUINO 101 SHIELD" H 1375 3300 50 0001 C CIN "Description"
2 1375 3650
1 0 0 -1
$EndComp
$Comp
L ARDUINO-101-SHIELD U5
U 3 1 57298950
P 1375 4750
F 0 "U5" H 1225 4250 50 0000 L CNN
F 1 "ARDUINO-101-SHIELD" V 1175 4300 50 0001 L CNN
F 2 "Wickerlib:ARDUINO-UNO-SHIELD" H 1375 4400 50 0001 C CIN
F 3 "https://www.adafruit.com/products/3033" H 1375 4750 5 0001 C CNN
F 4 "Arduino" H 1375 4400 50 0001 C CIN "MF_Name"
F 5 "UNO R3" H 1375 4400 50 0001 C CIN "MF_PN"
F 6 "Adafruit" H 1375 4400 50 0001 C CIN "S1_Name"
F 7 "50" H 1375 4400 50 0001 C CIN "S1_PN"
F 8 "ARDUINO 101 SHIELD" H 1375 4400 50 0001 C CIN "Description"
3 1375 4750
1 0 0 -1
$EndComp
$Comp
L ARDUINO-101-SHIELD U5
U 4 1 572989D0
P 1375 6025
F 0 "U5" H 1225 5525 50 0000 L CNN
F 1 "ARDUINO-101-SHIELD" V 1175 5575 50 0001 L CNN
F 2 "Wickerlib:ARDUINO-UNO-SHIELD" H 1375 5675 50 0001 C CIN
F 3 "https://www.adafruit.com/products/3033" H 1375 6025 5 0001 C CNN
F 4 "Arduino" H 1375 5675 50 0001 C CIN "MF_Name"
F 5 "UNO R3" H 1375 5675 50 0001 C CIN "MF_PN"
F 6 "Adafruit" H 1375 5675 50 0001 C CIN "S1_Name"
F 7 "50" H 1375 5675 50 0001 C CIN "S1_PN"
F 8 "ARDUINO 101 SHIELD" H 1375 5675 50 0001 C CIN "Description"
4 1375 6025
1 0 0 -1
$EndComp
Text Label 1750 6225 0 50 ~ 0
AREF
$EndSCHEMATC

+ 145
- 0
ZMHW_Modector/pcb_switcher/rescue-backup/uno-cache-2018-11-12-22-49-42.lib View File

@ -0,0 +1,145 @@
EESchema-LIBRARY Version 2.3
#encoding utf-8
#
# +3V3
#
DEF +3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# +5V
#
DEF +5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# ARDUINO-101-SHIELD
#
DEF ~ARDUINO-101-SHIELD U 0 40 Y N 5 L N
F0 "U" -150 -500 50 H V L CNN
F1 "ARDUINO-101-SHIELD" -200 -450 50 V I L CNN
F2 "ARDU-101SHIELD" 0 -350 50 H I C CIN
F3 "https://www.adafruit.com/products/3033" 0 0 5 H I C CNN
F4 "ARDU-101SHIELD" 0 -350 50 H I C CIN "Package"
F5 "Arduino" 0 -350 50 H I C CIN "MF_Name"
F6 "UNO R3" 0 -350 50 H I C CIN "MF_PN"
F7 "Adafruit" 0 -350 50 H I C CIN "S1_Name"
F8 "50" 0 -350 50 H I C CIN "S1_PN"
F9 "ARDUINO 101 SHIELD" 0 -350 50 H I C CIN "Description"
F10 "Not Verified" 0 -350 50 H I C CIN "Verified"
DRAW
C 30 150 20 5 0 0 N
T 900 -200 -290 60 0 1 1 POWER Normal 0 C C
S -50 450 -150 -450 1 1 0 N
X NC NC 150 350 200 L 50 50 1 1 N
X IOREF IO 150 250 200 L 50 50 1 1 W
X 5V 5V 150 -50 200 L 50 50 1 1 W
X 3.3V 3V3 150 50 200 L 50 50 1 1 w
X VIN VIN 150 -350 200 L 50 50 1 1 W
X RESET RST 150 150 200 L 50 50 1 1 B
X GND GND1 150 -150 200 L 50 50 1 1 W
X GND GND2 150 -250 200 L 50 50 1 1 W
T 900 -200 -270 60 0 2 1 ANALOG Normal 0 C C
S -50 150 -150 -450 2 1 0 N
X A0 A0 150 100 200 L 50 50 2 1 B
X A1 A1 150 0 200 L 50 50 2 1 B
X A2 A2 150 -100 200 L 50 50 2 1 B
X A3 A3 150 -200 200 L 50 50 2 1 B
X A4 A4 150 -300 200 L 50 50 2 1 B
X A5 A5 150 -400 200 L 50 50 2 1 B
T 900 -200 -280 60 0 3 1 DIGITAL Normal 0 C C
S -150 -450 -50 350 3 1 0 N
X D0 D0 150 300 200 L 50 50 3 1 B
X D1 D1 150 200 200 L 50 50 3 1 B
X D2 D2 150 100 200 L 50 50 3 1 B
X D3 D3 150 0 200 L 50 50 3 1 B
X D4 D4 150 -100 200 L 50 50 3 1 B
X D5 D5 150 -200 200 L 50 50 3 1 B
X D6 D6 150 -300 200 L 50 50 3 1 B
X D7 D7 150 -400 200 L 50 50 3 1 B
T 900 -200 -280 60 0 4 1 DIGITAL Normal 0 C C
S -50 550 -150 -450 4 1 0 N
X D8 D8 150 500 200 L 50 50 4 1 B
X D9 D9 150 400 200 L 50 50 4 1 B
X D10 D10 150 300 200 L 50 50 4 1 B
X D11 D11 150 200 200 L 50 50 4 1 B
X D12 D12 150 100 200 L 50 50 4 1 B
X D13 D13 150 0 200 L 50 50 4 1 B
X SDA SDA 150 -300 200 L 50 50 4 1 B
X SCL SCL 150 -400 200 L 50 50 4 1 B
X GND GND3 150 -100 200 L 50 50 4 1 W
X AREF AREF 150 -200 200 L 50 50 4 1 W
X ~ 1 30 0 130 U 50 50 5 1 N
S 150 300 -150 -400 6 1 0 N
X MISO 1 350 0 200 L 50 50 6 1 W
X VCC 2 350 200 200 L 50 50 6 1 W
X SCK 3 350 100 200 L 50 50 6 1 W
X MOSI 4 350 -100 200 L 50 50 6 1 W
X RESET 5 350 -200 200 L 50 50 6 1 W
X GND 6 350 -300 200 L 50 50 6 1 W
ENDDRAW
ENDDEF
#
# GND
#
DEF GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# IOREF
#
DEF IOREF #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "IOREF" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X IOREF 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# VIN
#
DEF VIN #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "VIN" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X VIN 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

BIN
ZMHW_Modector/pcb_switcher/resources/MC34063A-D.PDF View File


BIN
ZMHW_Modector/pcb_switcher/resources/MC34064-D.PDF View File


BIN
ZMHW_Modector/pcb_switcher/resources/lm2595.pdf View File


+ 3
- 0
ZMHW_Modector/pcb_switcher/sym-lib-table View File

@ -0,0 +1,3 @@
(sym_lib_table
(lib (name uno-rescue)(type Legacy)(uri ${KIPRJMOD}/uno-rescue.lib)(options "")(descr ""))
)

+ 431
- 0
ZMHW_Modector/pcb_switcher/uno-cache.lib View File

@ -0,0 +1,431 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Barrel_Jack
#
DEF Connector_Barrel_Jack J 0 40 Y Y 1 F N
F0 "J" 0 210 50 H V C CNN
F1 "Connector_Barrel_Jack" 0 -200 50 H V C CNN
F2 "" 50 -40 50 H I C CNN
F3 "" 50 -40 50 H I C CNN
ALIAS Jack-DC
$FPLIST
BarrelJack*
$ENDFPLIST
DRAW
A -130 100 25 901 -901 0 1 10 F -130 125 -130 75
A -130 100 25 901 -901 0 1 10 N -130 125 -130 75
S -200 150 200 -150 0 1 10 f
S 145 125 -130 75 0 1 10 F
P 2 0 1 10 200 100 150 100 N
P 6 0 1 10 -150 -100 -100 -100 -50 -50 0 -100 100 -100 200 -100 N
X ~ 1 300 100 100 L 50 50 1 1 P
X ~ 2 300 -100 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Conn_01x01_Female
#
DEF Connector_Conn_01x01_Female J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Connector_Conn_01x01_Female" 0 -100 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*
$ENDFPLIST
DRAW
A 0 0 20 901 -901 1 1 6 N 0 20 0 -20
P 2 1 1 6 -50 0 -20 0 N
X Pin_1 1 -200 0 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_CP
#
DEF Device_CP C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "Device_CP" 25 -100 50 H V L CNN
F2 "" 38 -150 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
CP_*
$ENDFPLIST
DRAW
S -90 20 -90 40 0 1 0 N
S -90 20 90 20 0 1 0 N
S 90 -20 -90 -40 0 1 0 F
S 90 40 -90 40 0 1 0 N
S 90 40 90 20 0 1 0 N
P 2 0 1 0 -70 90 -30 90 N
P 2 0 1 0 -50 110 -50 70 N
X ~ 1 0 150 110 D 50 50 1 1 P
X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Fuse
#
DEF Device_Fuse F 0 0 N Y 1 F N
F0 "F" 80 0 50 V V C CNN
F1 "Device_Fuse" -75 0 50 V V C CNN
F2 "" -70 0 50 V I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
*Fuse*
$ENDFPLIST
DRAW
S -30 -100 30 100 0 1 10 N
P 2 0 1 0 0 100 0 -100 N
X ~ 1 0 150 50 D 50 50 1 1 P
X ~ 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Jumper
#
DEF Device_Jumper JP 0 30 Y N 1 F N
F0 "JP" 0 150 50 H V C CNN
F1 "Device_Jumper" 0 -80 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
A 0 -26 125 1426 373 0 1 0 N -98 50 99 50
C -100 0 35 0 1 0 N
C 100 0 35 0 1 0 N
X 1 1 -300 0 165 R 50 50 0 1 P
X 2 2 300 0 165 L 50 50 0 1 P
ENDDRAW
ENDDEF
#
# Device_L_Core_Iron
#
DEF Device_L_Core_Iron L 0 40 N N 1 F N
F0 "L" -50 0 50 V V C CNN
F1 "Device_L_Core_Iron" 110 0 50 V V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Choke_*
*Coil*
Inductor_*
L_*
$ENDFPLIST
DRAW
A 0 -75 25 -899 899 0 1 0 N 0 -100 0 -50
A 0 -25 25 -899 899 0 1 0 N 0 -50 0 0
A 0 25 25 -899 899 0 1 0 N 0 0 0 50
A 0 75 25 -899 899 0 1 0 N 0 50 0 100
P 2 0 1 0 40 100 40 -100 N
P 2 0 1 0 60 -100 60 100 N
X 1 1 0 150 50 D 50 50 1 1 P
X 2 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R
#
DEF Device_R R 0 0 N Y 1 F N
F0 "R" 80 0 50 V V C CNN
F1 "Device_R" 0 0 50 V V C CNN
F2 "" -70 0 50 V I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -40 -100 40 100 0 1 10 N
X ~ 1 0 150 50 D 50 50 1 1 P
X ~ 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Diode_1N5819
#
DEF Diode_1N5819 D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "Diode_1N5819" 0 -100 50 H V C CNN
F2 "Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal" 0 -175 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS SB130 SB140 SB150 SB160 1N5817 1N5818 1N5819
$FPLIST
D*DO?41*
$ENDFPLIST
DRAW
P 2 0 1 0 50 0 -50 0 N
P 4 0 1 8 50 50 50 -50 -50 0 50 50 N
P 6 0 1 8 -75 25 -75 50 -50 50 -50 -50 -25 -50 -25 -25 N
X K 1 -150 0 100 R 50 50 1 1 P
X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Diode_1N5822
#
DEF Diode_1N5822 D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "Diode_1N5822" 0 -100 50 H V C CNN
F2 "Diode_THT:D_DO-201AD_P15.24mm_Horizontal" 0 -175 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS 1N5821 1N5822 MBR340
$FPLIST
D*DO?201AD*
$ENDFPLIST
DRAW
P 2 0 1 0 50 0 -50 0 N
P 4 0 1 8 50 50 50 -50 -50 0 50 50 N
P 6 0 1 8 -75 25 -75 50 -50 50 -50 -50 -25 -50 -25 -25 N
X K 1 -150 0 100 R 50 50 1 1 P
X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Regulator_Switching_LM2595T-ADJ
#
DEF Regulator_Switching_LM2595T-ADJ U 0 20 Y Y 1 F N
F0 "U" -400 250 50 H V L CNN
F1 "Regulator_Switching_LM2595T-ADJ" 0 250 50 H V L CNN
F2 "Package_TO_SOT_THT:TO-220-5_P3.4x3.7mm_StaggerOdd_Lead3.8mm_Vertical" 50 -250 50 H I L CIN
F3 "" 0 0 50 H I C CNN
ALIAS LM2595T-3.3 LM2595T-5 LM2595T-ADJ
$FPLIST
TO?220*
$ENDFPLIST
DRAW
S -400 200 400 -200 0 1 10 f
X OUT 1 500 -100 100 L 50 50 1 1 O
X VIN 2 -500 100 100 R 50 50 1 1 W
X GND 3 0 -300 100 U 50 50 1 1 W
X FB 4 500 100 100 L 50 50 1 1 I
X ~ON~/OFF 5 -500 -100 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Regulator_Switching_MC34063AD
#
DEF Regulator_Switching_MC34063AD U 0 20 Y Y 1 F N
F0 "U" -300 350 50 H V L CNN
F1 "Regulator_Switching_MC34063AD" 0 350 50 H V L CNN
F2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" 50 -450 50 H I L CNN
F3 "" 500 -100 50 H I C CNN
ALIAS SC33063AD NCV33063AVD MC34063AD
$FPLIST
SOIC*3.9x4.9mm*P1.27mm*
$ENDFPLIST
DRAW
S -300 300 300 -400 0 1 10 f
X SwC 1 400 0 100 L 50 50 1 1 C
X SwE 2 400 -200 100 L 50 50 1 1 E
X TC 3 -400 -200 100 R 50 50 1 1 P
X GND 4 0 -500 100 U 50 50 1 1 W
X Vfb 5 400 -300 100 L 50 50 1 1 I
X Vin 6 -400 200 100 R 50 50 1 1 W
X Ipk 7 400 200 100 L 50 50 1 1 I
X DC 8 400 100 100 L 50 50 1 1 C
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# pspice_INDUCTOR
#
DEF pspice_INDUCTOR L 0 0 N Y 1 F N
F0 "L" 0 100 50 H V C CNN
F1 "pspice_INDUCTOR" 0 -50 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
A -150 0 50 1 1799 0 1 0 N -100 0 -200 0
A -50 0 50 1 1799 0 1 0 N 0 0 -100 0
A 50 0 50 1 1799 0 1 0 N 100 0 0 0
A 150 0 50 1 1799 0 1 0 N 200 0 100 0
X 1 1 -250 0 50 R 30 30 1 1 I
X 2 2 250 0 50 L 30 30 1 1 I
ENDDRAW
ENDDEF
#
# uno-rescue_+3.3V
#
DEF uno-rescue_+3.3V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "uno-rescue_+3.3V" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# uno-rescue_+5V
#
DEF uno-rescue_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "uno-rescue_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# uno-rescue_ARDUINO-101-SHIELD
#
DEF ~uno-rescue_ARDUINO-101-SHIELD U 0 40 Y N 5 L N
F0 "U" -150 -500 50 H V L CNN
F1 "uno-rescue_ARDUINO-101-SHIELD" -200 -450 50 V I L CNN
F2 "ARDU-101SHIELD" 0 -350 50 H I C CIN
F3 "https://www.adafruit.com/products/3033" 0 0 5 H I C CNN
F4 "ARDU-101SHIELD" 0 -350 50 H I C CIN "Package"
F5 "Arduino" 0 -350 50 H I C CIN "MF_Name"
F6 "UNO R3" 0 -350 50 H I C CIN "MF_PN"
F7 "Adafruit" 0 -350 50 H I C CIN "S1_Name"
F8 "50" 0 -350 50 H I C CIN "S1_PN"
F9 "ARDUINO 101 SHIELD" 0 -350 50 H I C CIN "Description"
F10 "Not Verified" 0 -350 50 H I C CIN "Verified"
DRAW
C 30 150 20 5 0 0 N
T 900 -200 -290 60 0 1 1 POWER Normal 0 C C
T 900 -200 -270 60 0 2 1 ANALOG Normal 0 C C
T 900 -200 -280 60 0 3 1 DIGITAL Normal 0 C C
T 900 -200 -280 60 0 4 1 DIGITAL Normal 0 C C
S -50 450 -150 -450 1 1 0 N
S -50 150 -150 -450 2 1 0 N
S -150 -450 -50 350 3 1 0 N
S -50 550 -150 -450 4 1 0 N
S 150 300 -150 -400 6 1 0 N
X 3.3V 3V3 150 50 200 L 50 50 1 1 w
X 5V 5V 150 -50 200 L 50 50 1 1 W
X GND GND1 150 -150 200 L 50 50 1 1 W
X GND GND2 150 -250 200 L 50 50 1 1 W
X IOREF IO 150 250 200 L 50 50 1 1 W
X NC NC 150 350 200 L 50 50 1 1 N
X RESET RST 150 150 200 L 50 50 1 1 B
X VIN VIN 150 -350 200 L 50 50 1 1 W
X A0 A0 150 100 200 L 50 50 2 1 B
X A1 A1 150 0 200 L 50 50 2 1 B
X A2 A2 150 -100 200 L 50 50 2 1 B
X A3 A3 150 -200 200 L 50 50 2 1 B
X A4 A4 150 -300 200 L 50 50 2 1 B
X A5 A5 150 -400 200 L 50 50 2 1 B
X D0 D0 150 300 200 L 50 50 3 1 B
X D1 D1 150 200 200 L 50 50 3 1 B
X D2 D2 150 100 200 L 50 50 3 1 B
X D3 D3 150 0 200 L 50 50 3 1 B
X D4 D4 150 -100 200 L 50 50 3 1 B
X D5 D5 150 -200 200 L 50 50 3 1 B
X D6 D6 150 -300 200 L 50 50 3 1 B
X D7 D7 150 -400 200 L 50 50 3 1 B
X AREF AREF 150 -200 200 L 50 50 4 1 W
X D10 D10 150 300 200 L 50 50 4 1 B
X D11 D11 150 200 200 L 50 50 4 1 B
X D12 D12 150 100 200 L 50 50 4 1 B
X D13 D13 150 0 200 L 50 50 4 1 B
X D8 D8 150 500 200 L 50 50 4 1 B
X D9 D9 150 400 200 L 50 50 4 1 B
X GND GND3 150 -100 200 L 50 50 4 1 W
X SCL SCL 150 -400 200 L 50 50 4 1 B
X SDA SDA 150 -300 200 L 50 50 4 1 B
X ~ 1 30 0 130 U 50 50 5 1 N
X MISO 1 350 0 200 L 50 50 6 1 W
X VCC 2 350 200 200 L 50 50 6 1 W
X SCK 3 350 100 200 L 50 50 6 1 W
X MOSI 4 350 -100 200 L 50 50 6 1 W
X RESET 5 350 -200 200 L 50 50 6 1 W
X GND 6 350 -300 200 L 50 50 6 1 W
ENDDRAW
ENDDEF
#
# uno-rescue_GND
#
DEF uno-rescue_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "uno-rescue_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# uno-rescue_IOREF
#
DEF uno-rescue_IOREF #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "uno-rescue_IOREF" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X IOREF 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# uno-rescue_VIN
#
DEF uno-rescue_VIN #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "uno-rescue_VIN" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X VIN 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

+ 3
- 0
ZMHW_Modector/pcb_switcher/uno-rescue.dcm View File

@ -0,0 +1,3 @@
EESchema-DOCLIB Version 2.0
#
#End Doc Library

+ 406
- 0
ZMHW_Modector/pcb_switcher/uno-rescue.lib View File

@ -0,0 +1,406 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# +3.3V
#
DEF +3.3V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "+3.3V" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# +5V
#
DEF +5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# ARDUINO-101-SHIELD
#
DEF ~ARDUINO-101-SHIELD U 0 40 Y N 5 L N
F0 "U" -150 -500 50 H V L CNN
F1 "ARDUINO-101-SHIELD" -200 -450 50 V I L CNN
F2 "ARDU-101SHIELD" 0 -350 50 H I C CIN
F3 "https://www.adafruit.com/products/3033" 0 0 5 H I C CNN
F4 "ARDU-101SHIELD" 0 -350 50 H I C CIN "Package"
F5 "Arduino" 0 -350 50 H I C CIN "MF_Name"
F6 "UNO R3" 0 -350 50 H I C CIN "MF_PN"
F7 "Adafruit" 0 -350 50 H I C CIN "S1_Name"
F8 "50" 0 -350 50 H I C CIN "S1_PN"
F9 "ARDUINO 101 SHIELD" 0 -350 50 H I C CIN "Description"
F10 "Not Verified" 0 -350 50 H I C CIN "Verified"
DRAW
C 30 150 20 5 0 0 N
T 900 -200 -290 60 0 1 1 POWER Normal 0 C C
T 900 -200 -270 60 0 2 1 ANALOG Normal 0 C C
T 900 -200 -280 60 0 3 1 DIGITAL Normal 0 C C
T 900 -200 -280 60 0 4 1 DIGITAL Normal 0 C C
S -50 450 -150 -450 1 1 0 N
S -50 150 -150 -450 2 1 0 N
S -150 -450 -50 350 3 1 0 N
S -50 550 -150 -450 4 1 0 N
S 150 300 -150 -400 6 1 0 N
X 3.3V 3V3 150 50 200 L 50 50 1 1 w
X 5V 5V 150 -50 200 L 50 50 1 1 W
X GND GND1 150 -150 200 L 50 50 1 1 W
X GND GND2 150 -250 200 L 50 50 1 1 W
X IOREF IO 150 250 200 L 50 50 1 1 W
X NC NC 150 350 200 L 50 50 1 1 N
X RESET RST 150 150 200 L 50 50 1 1 B
X VIN VIN 150 -350 200 L 50 50 1 1 W
X A0 A0 150 100 200 L 50 50 2 1 B
X A1 A1 150 0 200 L 50 50 2 1 B
X A2 A2 150 -100 200 L 50 50 2 1 B
X A3 A3 150 -200 200 L 50 50 2 1 B
X A4 A4 150 -300 200 L 50 50 2 1 B
X A5 A5 150 -400 200 L 50 50 2 1 B
X D0 D0 150 300 200 L 50 50 3 1 B
X D1 D1 150 200 200 L 50 50 3 1 B
X D2 D2 150 100 200 L 50 50 3 1 B
X D3 D3 150 0 200 L 50 50 3 1 B
X D4 D4 150 -100 200 L 50 50 3 1 B
X D5 D5 150 -200 200 L 50 50 3 1 B
X D6 D6 150 -300 200 L 50 50 3 1 B
X D7 D7 150 -400 200 L 50 50 3 1 B
X AREF AREF 150 -200 200 L 50 50 4 1 W
X D10 D10 150 300 200 L 50 50 4 1 B
X D11 D11 150 200 200 L 50 50 4 1 B
X D12 D12 150 100 200 L 50 50 4 1 B
X D13 D13 150 0 200 L 50 50 4 1 B
X D8 D8 150 500 200 L 50 50 4 1 B
X D9 D9 150 400 200 L 50 50 4 1 B
X GND GND3 150 -100 200 L 50 50 4 1 W
X SCL SCL 150 -400 200 L 50 50 4 1 B
X SDA SDA 150 -300 200 L 50 50 4 1 B
X ~ 1 30 0 130 U 50 50 5 1 N
X MISO 1 350 0 200 L 50 50 6 1 W
X VCC 2 350 200 200 L 50 50 6 1 W
X SCK 3 350 100 200 L 50 50 6 1 W
X MOSI 4 350 -100 200 L 50 50 6 1 W
X RESET 5 350 -200 200 L 50 50 6 1 W
X GND 6 350 -300 200 L 50 50 6 1 W
ENDDRAW
ENDDEF
#
# C-device
#
DEF C-device C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "C-device" 25 -100 50 H V L CNN
F2 "" 38 -150 50 H V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
C?
C_????_*
C_????
SMD*_c
Capacitor*
$ENDFPLIST
DRAW
P 2 0 1 20 -80 -30 80 -30 N
P 2 0 1 20 -80 30 80 30 N
X ~ 1 0 150 110 D 50 50 1 1 P
X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CONN_01X01-conn
#
DEF CONN_01X01-conn P 0 40 Y N 1 F N
F0 "P" 0 100 50 H V C CNN
F1 "CONN_01X01-conn" 100 0 50 V V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
Pin_Header_Straight_1X01
Pin_Header_Angled_1X01
Socket_Strip_Straight_1X01
Socket_Strip_Angled_1X01
$ENDFPLIST
DRAW
S -50 5 10 -5 0 1 0 N
S -50 50 50 -50 0 1 0 N
X P1 1 -200 0 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CONN_01X02-conn
#
DEF CONN_01X02-conn P 0 40 Y N 1 F N
F0 "P" 0 150 50 H V C CNN
F1 "CONN_01X02-conn" 100 0 50 V V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
Pin_Header_Straight_1X02
Pin_Header_Angled_1X02
Socket_Strip_Straight_1X02
Socket_Strip_Angled_1X02
$ENDFPLIST
DRAW
S -50 -45 10 -55 0 1 0 N
S -50 55 10 45 0 1 0 N
S -50 100 50 -100 0 1 0 N
X P1 1 -200 50 150 R 50 50 1 1 P
X P2 2 -200 -50 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CONN_01X03-conn
#
DEF CONN_01X03-conn P 0 40 Y N 1 F N
F0 "P" 0 200 50 H V C CNN
F1 "CONN_01X03-conn" 100 0 50 V V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
Pin_Header_Straight_1X03
Pin_Header_Angled_1X03
Socket_Strip_Straight_1X03
Socket_Strip_Angled_1X03
$ENDFPLIST
DRAW
S -50 -95 10 -105 0 1 0 N
S -50 5 10 -5 0 1 0 N
S -50 105 10 95 0 1 0 N
S -50 150 50 -150 0 1 0 N
X P1 1 -200 100 150 R 50 50 1 1 P
X P2 2 -200 0 150 R 50 50 1 1 P
X P3 3 -200 -100 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CONN_01X04-conn
#
DEF CONN_01X04-conn P 0 40 Y N 1 F N
F0 "P" 0 250 50 H V C CNN
F1 "CONN_01X04-conn" 100 0 50 V V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
Pin_Header_Straight_1X04
Pin_Header_Angled_1X04
Socket_Strip_Straight_1X04
Socket_Strip_Angled_1X04
$ENDFPLIST
DRAW
S -50 -145 10 -155 0 1 0 N
S -50 -45 10 -55 0 1 0 N
S -50 55 10 45 0 1 0 N
S -50 155 10 145 0 1 0 N
S -50 200 50 -200 0 1 0 N
X P1 1 -200 150 150 R 50 50 1 1 P
X P2 2 -200 50 150 R 50 50 1 1 P
X P3 3 -200 -50 150 R 50 50 1 1 P
X P4 4 -200 -150 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CONN_02X05-conn
#
DEF CONN_02X05-conn P 0 1 Y N 1 F N
F0 "P" 0 300 50 H V C CNN
F1 "CONN_02X05-conn" 0 -300 50 H V C CNN
F2 "" 0 -1200 50 H V C CNN
F3 "" 0 -1200 50 H V C CNN
$FPLIST
Pin_Header_Straight_2X05
Pin_Header_Angled_2X05
Socket_Strip_Straight_2X05
Socket_Strip_Angled_2X05
$ENDFPLIST
DRAW
S -100 -195 -50 -205 0 1 0 N
S -100 -95 -50 -105 0 1 0 N
S -100 5 -50 -5 0 1 0 N
S -100 105 -50 95 0 1 0 N
S -100 205 -50 195 0 1 0 N
S -100 250 100 -250 0 1 0 N
S 50 -195 100 -205 0 1 0 N
S 50 -95 100 -105 0 1 0 N
S 50 5 100 -5 0 1 0 N
S 50 105 100 95 0 1 0 N
S 50 205 100 195 0 1 0 N
X P1 1 -250 200 150 R 50 50 1 1 P
X P10 10 250 -200 150 L 50 50 1 1 P
X P2 2 250 200 150 L 50 50 1 1 P
X P3 3 -250 100 150 R 50 50 1 1 P
X P4 4 250 100 150 L 50 50 1 1 P
X P5 5 -250 0 150 R 50 50 1 1 P
X P6 6 250 0 150 L 50 50 1 1 P
X P7 7 -250 -100 150 R 50 50 1 1 P
X P8 8 250 -100 150 L 50 50 1 1 P
X P9 9 -250 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CONN_02X06-conn
#
DEF CONN_02X06-conn P 0 1 Y N 1 F N
F0 "P" 0 350 50 H V C CNN
F1 "CONN_02X06-conn" 0 -350 50 H V C CNN
F2 "" 0 -1200 50 H V C CNN
F3 "" 0 -1200 50 H V C CNN
$FPLIST
Pin_Header_Straight_2X06
Pin_Header_Angled_2X06
Socket_Strip_Straight_2X06
Socket_Strip_Angled_2X06
$ENDFPLIST
DRAW
S -100 -245 -50 -255 0 1 0 N
S -100 -145 -50 -155 0 1 0 N
S -100 -45 -50 -55 0 1 0 N
S -100 55 -50 45 0 1 0 N
S -100 155 -50 145 0 1 0 N
S -100 255 -50 245 0 1 0 N
S -100 300 100 -300 0 1 0 N
S 50 -245 100 -255 0 1 0 N
S 50 -145 100 -155 0 1 0 N
S 50 -45 100 -55 0 1 0 N
S 50 55 100 45 0 1 0 N
S 50 155 100 145 0 1 0 N
S 50 255 100 245 0 1 0 N
X P1 1 -250 250 150 R 50 50 1 1 P
X P10 10 250 -150 150 L 50 50 1 1 P
X P11 11 -250 -250 150 R 50 50 1 1 P
X P12 12 250 -250 150 L 50 50 1 1 P
X P2 2 250 250 150 L 50 50 1 1 P
X P3 3 -250 150 150 R 50 50 1 1 P
X P4 4 250 150 150 L 50 50 1 1 P
X P5 5 -250 50 150 R 50 50 1 1 P
X P6 6 250 50 150 L 50 50 1 1 P
X P7 7 -250 -50 150 R 50 50 1 1 P
X P8 8 250 -50 150 L 50 50 1 1 P
X P9 9 -250 -150 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# GND
#
DEF GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# IOREF
#
DEF IOREF #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "IOREF" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X IOREF 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# LM35-D-sensors
#
DEF LM35-D-sensors U 0 40 Y Y 1 F N
F0 "U" -250 250 50 H V C CNN
F1 "LM35-D-sensors" 50 250 50 H V L CNN
F2 "Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm" 0 -400 50 H I C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
SOIC*
$ENDFPLIST
DRAW
A -175 125 25 1 1799 0 1 10 N -150 125 -200 125
C -175 -100 50 0 1 10 F
S -300 200 300 -200 0 1 10 f
S -150 -75 -200 0 0 1 10 F
P 2 0 1 10 -200 25 -175 25 N
P 2 0 1 10 -200 50 -175 50 N
P 2 0 1 10 -200 75 -175 75 N
P 2 0 1 10 -200 100 -175 100 N
P 2 0 1 10 -200 125 -200 0 N
P 2 0 1 10 -200 125 -175 125 N
P 2 0 1 10 -150 125 -150 0 N
X Vout 1 400 0 100 L 50 50 1 1 O
X NC 2 -100 -300 100 U 50 50 1 1 N N
X NC 3 -100 300 100 D 50 50 1 1 N N
X GND 4 0 -300 100 U 50 50 1 1 W
X NC 5 100 -300 100 U 50 50 1 1 N N
X NC 6 200 -300 100 U 50 50 1 1 N N
X NC 7 400 100 100 L 50 50 1 1 N N
X +VS 8 0 300 100 D 50 50 1 1 W
ENDDRAW
ENDDEF
#
# R-device
#
DEF R-device R 0 0 N Y 1 F N
F0 "R" 80 0 50 V V C CNN
F1 "R-device" 0 0 50 V V C CNN
F2 "" -70 0 50 V V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
R_*
Resistor_*
$ENDFPLIST
DRAW
S -40 -100 40 100 0 1 10 N
X ~ 1 0 150 50 D 50 50 1 1 P
X ~ 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# VIN
#
DEF VIN #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "VIN" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X VIN 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# WS2812-Worldsemi
#
DEF WS2812-Worldsemi LED 0 40 Y Y 1 F N
F0 "LED" 0 -200 50 H V C CNN
F1 "WS2812-Worldsemi" 0 200 50 H V C CNN
F2 "LEDs:LED_WS2812-PLCC6" -100 -300 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
S -300 150 300 -150 0 1 10 f
X DOUT 1 400 0 100 L 50 50 1 1 O
X DIN 2 -400 -100 100 R 50 50 1 1 I
X VCC 3 -400 100 100 R 50 50 1 1 W
X VDD 5 -400 0 100 R 50 50 1 1 W
X VSS 6 400 -100 100 L 50 50 1 1 W
ENDDRAW
ENDDEF
#
#End Library

+ 1068
- 0
ZMHW_Modector/pcb_switcher/uno.bak
File diff suppressed because it is too large
View File


+ 813
- 0
ZMHW_Modector/pcb_switcher/uno.dsn View File

@ -0,0 +1,813 @@
(pcb /home/layoutdev/Desktop/code/documentation_general/Electronics_Projects_2019/ZMHW_Modector/pcb/uno.dsn
(parser
(string_quote ")
(space_in_quoted_tokens on)
(host_cad "KiCad's Pcbnew")
(host_version "5.0.2+dfsg1-1~bpo9+1")
)
(resolution um 10)
(unit um)
(structure
(layer F.Cu
(type signal)
(property
(index 0)
)
)
(layer B.Cu
(type signal)
(property
(index 1)
)
)
(boundary
(path pcb 0 87630 -45720 79375 -45720 79375 -53340 76200 -57785
76200 -87630 78105 -90170 78105 -111125 52705 -111125 52705 -85090
46355 -85090 37465 -75565 37465 -69215 44450 -69215 44450 -57150
50165 -57150 50165 -50165 55245 -50165 64135 -41275 64135 -33655
87630 -33655 87630 -45720)
)
(plane GND (polygon F.Cu 0 86995 -34290 64770 -34290 64770 -41910 55245 -50800
50800 -50800 50800 -57785 45085 -57785 45085 -69850 38100 -69850
38100 -75565 46355 -84455 53340 -84455 53340 -110490 77470 -110490
77470 -90170 75565 -87630 75565 -57150 78740 -52705 78740 -45085
86995 -45085 86995 -34925 86995 -34290))
(plane GND (polygon B.Cu 0 86995 -34290 64770 -34290 64770 -41910 55245 -50800
50800 -50800 50800 -57785 45085 -57785 45085 -69850 38100 -69850
38100 -75565 46355 -84455 53340 -84455 53340 -110490 77470 -110490
77470 -90170 75565 -87630 75565 -57150 78740 -52705 78740 -45085
86995 -45085 86995 -34925 86995 -34290))
(via "Via[0-1]_685.8:330.2_um")
(rule
(width 254)
(clearance 254.1)
(clearance 254.1 (type default_smd))
(clearance 63.5 (type smd_smd))
)
)
(placement
(component Pin_Headers:Pin_Header_Straight_1x03
(place P99 76200 -92710 front 0 (PN CONN_01X03))
(place P8 41910 -77470 front 45 (PN CONN_01X03))
)
(component "footprints:ARDUINO-101-SHIELD_EASYTOSOLDER_VERS"
(place U5 43129.2 -108585 front 0 (PN "ARDUINO-101-SHIELD"))
)
(component Pin_Headers:Pin_Header_Straight_1x04
(place P1 67310 -91440 front 180 (PN CONN_01X04))
)
(component "LEDs:LED_WS2812-PLCC6"
(place LED1 66675 -72390 front 0 (PN WS2812))
)
(component Pin_Headers:Pin_Header_Straight_2x05
(place P2 73025 -45974 front 180 (PN CONN_02X05))
)
(component "Wire_Pads:SolderWirePad_2x_1-2mmDrill"
(place P3 52070 -70485 front 0 (PN CONN_01X02))
)
(component "Wire_Pads:SolderWirePad_2x_1-2mmDrill::1"
(place P4 52070 -66675 front 0 (PN CONN_01X02))
)
(component Pin_Headers:Pin_Header_Straight_1x04::1
(place P5 54610 -88900 front 180 (PN CONN_01X04))
(place P14 73660 -81280 front 0 (PN CONN_01X04))
(place P15 45720 -73660 front 90 (PN CONN_01X04))
)
(component Pin_Headers:Pin_Header_Straight_1x01
(place P6 60325 -71120 front 0 (PN CONN_01X01))
)
(component Pin_Headers:Pin_Header_Straight_2x06
(place P7 67945 -48895 front 180 (PN CONN_02X06))
)
(component Pin_Headers:Pin_Header_Straight_1x03::1
(place P98 85725 -38735 front 0 (PN CONN_01X03))
)
(component "TO_SOT_Packages_THT:TO-92_Inline_Wide"
(place P10 54610 -93345 front 0 (PN CONN_01X03))
)
(component "Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm"
(place U6 59690 -84455 front 90 (PN "LM35-D"))
)
(component Resistors_SMD:R_0805_HandSoldering
(place C1 71755 -71200 front 90 (PN 1uf))
)
(component Resistors_SMD:R_0805_HandSoldering::1
(place R1 70485 -92710 front 90 (PN 470))
(place R2 73660 -76915 front 90 (PN 10K))
)
(component SteakElectronics:steakelectronics
(place G*** 61595 -52832 front 6 (PN LOGO))
)
(component Pin_Headers:Pin_Header_Straight_1x02
(place P11 68580 -97155 front 270 (PN CONN_01X02))
)
(component Pin_Headers:Pin_Header_Straight_1x02::1
(place P12 64770 -78740 front 90 (PN CONN_01X02))
)
)
(library
(image Pin_Headers:Pin_Header_Straight_1x03
(outline (path signal 150 -1550 1550 1550 1550))
(outline (path signal 150 -1550 0 -1550 1550))
(outline (path signal 150 1270 -1270 -1270 -1270))
(outline (path signal 150 1550 1550 1550 0))
(outline (path signal 150 1270 -6350 1270 -1270))
(outline (path signal 150 -1270 -6350 1270 -6350))
(outline (path signal 150 -1270 -1270 -1270 -6350))
(outline (path signal 50 -1750 -6850 1750 -6850))
(outline (path signal 50 -1750 1750 1750 1750))
(outline (path signal 50 1750 1750 1750 -6850))
(outline (path signal 50 -1750 1750 -1750 -6850))
(pin Oval[A]Pad_2032x1727.2_um 3 0 -5080)
(pin Oval[A]Pad_2032x1727.2_um 2 0 -2540)
(pin Rect[A]Pad_2032x1727.2_um 1 0 0)
)
(image "footprints:ARDUINO-101-SHIELD_EASYTOSOLDER_VERS"
(outline (path signal 50 24630 1750 24630 -1750))
(outline (path signal 150 24130 -1270 24130 1270))
(outline (path signal 150 26593.8 -1295.4 26593.8 1244.6))
(outline (path signal 50 26215.4 1724.6 26215.4 -1775.4))
(outline (path signal 150 21513.8 46990 21513.8 49530))
(outline (path signal 50 21135.4 50010 21135.4 46510))
(outline (path signal 150 20091.4 46990 20091.4 49530))
(outline (path signal 50 20591.4 50010 20591.4 46510))
(pin Oval[A]Pad_1727.2x2032_um 3V3 12700 0)
(pin Oval[A]Pad_1727.2x2032_um 5V 15240 0)
(pin Oval[A]Pad_1727.2x2032_um GND1 17780 0)
(pin Oval[A]Pad_1727.2x2032_um GND2 20320 0)
(pin Oval[A]Pad_1727.2x2032_um VIN 22860 0)
(pin Oval[A]Pad_1727.2x2032_um A0 27965.4 0)
(pin Oval[A]Pad_1727.2x2032_um A1 30505.4 0)
(pin Oval[A]Pad_1727.2x2032_um A2 33045.4 0)
(pin Oval[A]Pad_1727.2x2032_um D7 22885.4 48260)
(pin Oval[A]Pad_1727.2x2032_um D13 6121.4 48260)
(pin Oval[A]Pad_1727.2x2032_um D12 8661.4 48260)
(pin Oval[A]Pad_1727.2x2032_um D11 11201.4 48260)
(pin Oval[A]Pad_1727.2x2032_um D8 18821.4 48260)
(pin Oval[A]Pad_1727.2x2032_um D9 16281.4 48260)
(pin Oval[A]Pad_1727.2x2032_um D10 13741.4 48260)
)
(image Pin_Headers:Pin_Header_Straight_1x04
(outline (path signal 150 -1550 1550 1550 1550))
(outline (path signal 150 -1550 0 -1550 1550))
(outline (path signal 150 1270 -1270 -1270 -1270))
(outline (path signal 150 -1270 -8890 1270 -8890))
(outline (path signal 150 1550 1550 1550 0))
(outline (path signal 150 1270 -1270 1270 -8890))
(outline (path signal 150 -1270 -1270 -1270 -8890))
(outline (path signal 50 -1750 -9400 1750 -9400))
(outline (path signal 50 -1750 1750 1750 1750))
(outline (path signal 50 1750 1750 1750 -9400))
(outline (path signal 50 -1750 1750 -1750 -9400))
(pin Oval[A]Pad_2032x1727.2_um 4 0 -7620)
(pin Oval[A]Pad_2032x1727.2_um 3 0 -5080)
(pin Oval[A]Pad_2032x1727.2_um 2 0 -2540)
(pin Rect[A]Pad_2032x1727.2_um 1 0 0)
)
(image "LEDs:LED_WS2812-PLCC6"
(outline (path signal 50 3750 2850 -3750 2850))
(outline (path signal 50 3750 -2850 3750 2850))
(outline (path signal 50 -3750 -2850 3750 -2850))
(outline (path signal 50 -3750 2850 -3750 -2850))
(outline (path signal 100 -2500 1500 -1500 2500))
(outline (path signal 100 -2500 2500 2500 2500))
(outline (path signal 100 2500 2500 2500 -2500))
(outline (path signal 100 2500 -2500 -2500 -2500))
(outline (path signal 100 -2500 -2500 -2500 2500))
(outline (path signal 150 -3500 -2500 3500 -2500))
(outline (path signal 150 -3500 1550 -3500 2550))
(outline (path signal 150 -3500 2550 3500 2550))
(outline (path signal 100 2000 0 1918.99 -563.465 1682.51 -1081.28 1309.72 -1511.5
830.83 -1819.26 284.63 -1979.64 -284.63 -1979.64 -830.83 -1819.26
-1309.72 -1511.5 -1682.51 -1081.28 -1918.99 -563.465 -2000 0
-1918.99 563.465 -1682.51 1081.28 -1309.72 1511.5 -830.83 1819.26
-284.63 1979.64 284.63 1979.64 830.83 1819.26 1309.72 1511.5
1682.51 1081.28 1918.99 563.465 2000 0))
(pin Rect[T]Pad_1600x1000_um 4 2500 -1600)
(pin Rect[T]Pad_1600x1000_um 5 2500 0)
(pin Rect[T]Pad_1600x1000_um 6 2500 1600)
(pin Rect[T]Pad_1600x1000_um 3 -2500 -1600)
(pin Rect[T]Pad_1600x1000_um 2 -2500 0)
(pin Rect[T]Pad_1600x1000_um 1 -2500 1600)
)
(image Pin_Headers:Pin_Header_Straight_2x05
(outline (path signal 50 -1750 1750 -1750 -11950))
(outline (path signal 50 4300 1750 4300 -11950))
(outline (path signal 50 -1750 1750 4300 1750))
(outline (path signal 50 -1750 -11950 4300 -11950))
(outline (path signal 150 3810 1270 3810 -11430))
(outline (path signal 150 3810 -11430 -1270 -11430))
(outline (path signal 150 -1270 -11430 -1270 -1270))
(outline (path signal 150 3810 1270 1270 1270))
(outline (path signal 150 0 1550 -1550 1550))
(outline (path signal 150 1270 1270 1270 -1270))
(outline (path signal 150 1270 -1270 -1270 -1270))
(outline (path signal 150 -1550 1550 -1550 0))
(pin Rect[A]Pad_1727.2x1727.2_um 1 0 0)
(pin Oval[A]Pad_1727.2x1727.2_um 2 2540 0)
(pin Oval[A]Pad_1727.2x1727.2_um 3 0 -2540)
(pin Oval[A]Pad_1727.2x1727.2_um 4 2540 -2540)
(pin Oval[A]Pad_1727.2x1727.2_um 5 0 -5080)
(pin Oval[A]Pad_1727.2x1727.2_um 6 2540 -5080)
(pin Oval[A]Pad_1727.2x1727.2_um 7 0 -7620)
(pin Oval[A]Pad_1727.2x1727.2_um 8 2540 -7620)
(pin Oval[A]Pad_1727.2x1727.2_um 9 0 -10160)
(pin Oval[A]Pad_1727.2x1727.2_um 10 2540 -10160)
)
(image "Wire_Pads:SolderWirePad_2x_1-2mmDrill"
(pin Round[A]Pad_2999.74_um 1 -1905 0)
(pin Round[A]Pad_2999.74_um 2 1905 0)
)
(image "Wire_Pads:SolderWirePad_2x_1-2mmDrill::1"
(pin Round[A]Pad_2999.74_um 2 1905 0)
(pin Round[A]Pad_2999.74_um 1 -1905 0)
)
(image Pin_Headers:Pin_Header_Straight_1x04::1
(outline (path signal 50 -1750 1750 -1750 -9400))
(outline (path signal 50 1750 1750 1750 -9400))
(outline (path signal 50 -1750 1750 1750 1750))
(outline (path signal 50 -1750 -9400 1750 -9400))
(outline (path signal 150 -1270 -1270 -1270 -8890))
(outline (path signal 150 1270 -1270 1270 -8890))
(outline (path signal 150 1550 1550 1550 0))
(outline (path signal 150 -1270 -8890 1270 -8890))
(outline (path signal 150 1270 -1270 -1270 -1270))
(outline (path signal 150 -1550 0 -1550 1550))
(outline (path signal 150 -1550 1550 1550 1550))
(pin Rect[A]Pad_2032x1727.2_um 1 0 0)
(pin Oval[A]Pad_2032x1727.2_um 2 0 -2540)
(pin Oval[A]Pad_2032x1727.2_um 3 0 -5080)
(pin Oval[A]Pad_2032x1727.2_um 4 0 -7620)
)
(image Pin_Headers:Pin_Header_Straight_1x01
(outline (path signal 150 1550 1550 1550 0))
(outline (path signal 50 -1750 1750 -1750 -1750))
(outline (path signal 50 1750 1750 1750 -1750))
(outline (path signal 50 -1750 1750 1750 1750))
(outline (path signal 50 -1750 -1750 1750 -1750))
(outline (path signal 150 -1550 0 -1550 1550))
(outline (path signal 150 -1550 1550 1550 1550))
(outline (path signal 150 -1270 -1270 1270 -1270))
(pin Rect[A]Pad_2235.2x2235.2_um 1 0 0)
)
(image Pin_Headers:Pin_Header_Straight_2x06
(outline (path signal 50 -1750 1750 -1750 -14450))
(outline (path signal 50 4300 1750 4300 -14450))
(outline (path signal 50 -1750 1750 4300 1750))
(outline (path signal 50 -1750 -14450 4300 -14450))
(outline (path signal 150 3810 -13970 3810 1270))
(outline (path signal 150 -1270 -1270 -1270 -13970))
(outline (path signal 150 3810 -13970 -1270 -13970))
(outline (path signal 150 3810 1270 1270 1270))
(outline (path signal 150 0 1550 -1550 1550))
(outline (path signal 150 1270 1270 1270 -1270))
(outline (path signal 150 1270 -1270 -1270 -1270))
(outline (path signal 150 -1550 1550 -1550 0))
(pin Rect[A]Pad_1727.2x1727.2_um 1 0 0)
(pin Oval[A]Pad_1727.2x1727.2_um 2 2540 0)
(pin Oval[A]Pad_1727.2x1727.2_um 3 0 -2540)
(pin Oval[A]Pad_1727.2x1727.2_um 4 2540 -2540)
(pin Oval[A]Pad_1727.2x1727.2_um 5 0 -5080)
(pin Oval[A]Pad_1727.2x1727.2_um 6 2540 -5080)
(pin Oval[A]Pad_1727.2x1727.2_um 7 0 -7620)
(pin Oval[A]Pad_1727.2x1727.2_um 8 2540 -7620)
(pin Oval[A]Pad_1727.2x1727.2_um 9 0 -10160)
(pin Oval[A]Pad_1727.2x1727.2_um 10 2540 -10160)
(pin Oval[A]Pad_1727.2x1727.2_um 11 0 -12700)
(pin Oval[A]Pad_1727.2x1727.2_um 12 2540 -12700)
)
(image Pin_Headers:Pin_Header_Straight_1x03::1
(outline (path signal 50 -1750 1750 -1750 -6850))
(outline (path signal 50 1750 1750 1750 -6850))
(outline (path signal 50 -1750 1750 1750 1750))
(outline (path signal 50 -1750 -6850 1750 -6850))
(outline (path signal 150 -1270 -1270 -1270 -6350))
(outline (path signal 150 -1270 -6350 1270 -6350))
(outline (path signal 150 1270 -6350 1270 -1270))
(outline (path signal 150 1550 1550 1550 0))
(outline (path signal 150 1270 -1270 -1270 -1270))
(outline (path signal 150 -1550 0 -1550 1550))
(outline (path signal 150 -1550 1550 1550 1550))
(pin Rect[A]Pad_2032x1727.2_um 1 0 0)
(pin Oval[A]Pad_2032x1727.2_um 2 0 -2540)
(pin Oval[A]Pad_2032x1727.2_um 3 0 -5080)
)
(image "TO_SOT_Packages_THT:TO-92_Inline_Wide"
(outline (path signal 50 -1000 -1950 -1000 2650))
(outline (path signal 50 -1000 -1950 6100 -1950))
(outline (path signal 150 840 -1700 4240 -1700))
(outline (path signal 50 -1000 2650 6100 2650))
(outline (path signal 50 6100 -1950 6100 2650))
(pin Round[A]Pad_1524_um (rotate 90) 2 2540 0)
(pin Round[A]Pad_1524_um (rotate 90) 3 5080 0)
(pin Round[A]Pad_1524_um (rotate 90) 1 0 0)
)
(image "Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm"
(outline (path signal 150 -950 2450 1950 2450))
(outline (path signal 150 1950 2450 1950 -2450))
(outline (path signal 150 1950 -2450 -1950 -2450))
(outline (path signal 150 -1950 -2450 -1950 1450))
(outline (path signal 150 -1950 1450 -950 2450))
(outline (path signal 50 -3750 2750 -3750 -2750))
(outline (path signal 50 3750 2750 3750 -2750))
(outline (path signal 50 -3750 2750 3750 2750))
(outline (path signal 50 -3750 -2750 3750 -2750))
(outline (path signal 150 -2075 2575 -2075 2525))
(outline (path signal 150 2075 2575 2075 2430))
(outline (path signal 150 2075 -2575 2075 -2430))
(outline (path signal 150 -2075 -2575 -2075 -2430))
(outline (path signal 150 -2075 2575 2075 2575))
(outline (path signal 150 -2075 -2575 2075 -2575))
(outline (path signal 150 -2075 2525 -3475 2525))
(pin Rect[T]Pad_1550x600_um 1 -2700 1905)
(pin Rect[T]Pad_1550x600_um 2 -2700 635)
(pin Rect[T]Pad_1550x600_um 3 -2700 -635)
(pin Rect[T]Pad_1550x600_um 4 -2700 -1905)
(pin Rect[T]Pad_1550x600_um 5 2700 -1905)
(pin Rect[T]Pad_1550x600_um 6 2700 -635)
(pin Rect[T]Pad_1550x600_um 7 2700 635)
(pin Rect[T]Pad_1550x600_um 8 2700 1905)
)
(image Resistors_SMD:R_0805_HandSoldering
(outline (path signal 150 -600 875 600 875))
(outline (path signal 150 600 -875 -600 -875))
(outline (path signal 50 2400 1000 2400 -1000))
(outline (path signal 50 -2400 1000 -2400 -1000))
(outline (path signal 50 -2400 -1000 2400 -1000))
(outline (path signal 50 -2400 1000 2400 1000))
(outline (path signal 100 -1000 625 1000 625))
(outline (path signal 100 1000 625 1000 -625))
(outline (path signal 100 1000 -625 -1000 -625))
(outline (path signal 100 -1000 -625 -1000 625))
(pin Rect[T]Pad_1500x1300_um 2 1350 0)
(pin Rect[T]Pad_1500x1300_um 1 -1350 0)
)
(image Resistors_SMD:R_0805_HandSoldering::1
(outline (path signal 100 -1000 -625 -1000 625))
(outline (path signal 100 1000 -625 -1000 -625))
(outline (path signal 100 1000 625 1000 -625))
(outline (path signal 100 -1000 625 1000 625))
(outline (path signal 50 -2400 1000 2400 1000))
(outline (path signal 50 -2400 -1000 2400 -1000))
(outline (path signal 50 -2400 1000 -2400 -1000))
(outline (path signal 50 2400 1000 2400 -1000))
(outline (path signal 150 600 -875 -600 -875))
(outline (path signal 150 -600 875 600 875))
(pin Rect[T]Pad_1500x1300_um 1 -1350 0)
(pin Rect[T]Pad_1500x1300_um 2 1350 0)
)
(image SteakElectronics:steakelectronics
)
(image Pin_Headers:Pin_Header_Straight_1x02
(outline (path signal 150 -1270 -3810 1270 -3810))
(outline (path signal 150 -1270 -1270 -1270 -3810))
(outline (path signal 150 -1550 1550 1550 1550))
(outline (path signal 150 -1550 0 -1550 1550))
(outline (path signal 150 1270 -1270 -1270 -1270))
(outline (path signal 50 -1750 -4300 1750 -4300))
(outline (path signal 50 -1750 1750 1750 1750))
(outline (path signal 50 1750 1750 1750 -4300))
(outline (path signal 50 -1750 1750 -1750 -4300))
(outline (path signal 150 1550 1550 1550 0))
(outline (path signal 150 1270 -1270 1270 -3810))
(pin Oval[A]Pad_2032x2032_um 2 0 -2540)
(pin Rect[A]Pad_2032x2032_um 1 0 0)
)
(image Pin_Headers:Pin_Header_Straight_1x02::1
(outline (path signal 150 1270 -1270 1270 -3810))
(outline (path signal 150 1550 1550 1550 0))
(outline (path signal 50 -1750 1750 -1750 -4300))
(outline (path signal 50 1750 1750 1750 -4300))
(outline (path signal 50 -1750 1750 1750 1750))
(outline (path signal 50 -1750 -4300 1750 -4300))
(outline (path signal 150 1270 -1270 -1270 -1270))
(outline (path signal 150 -1550 0 -1550 1550))
(outline (path signal 150 -1550 1550 1550 1550))
(outline (path signal 150 -1270 -1270 -1270 -3810))
(outline (path signal 150 -1270 -3810 1270 -3810))
(pin Rect[A]Pad_2032x2032_um 1 0 0)
(pin Oval[A]Pad_2032x2032_um 2 0 -2540)
)
(padstack Round[A]Pad_1524_um
(shape (circle F.Cu 1524))
(shape (circle B.Cu 1524))
(attach off)
)
(padstack Round[A]Pad_2999.74_um
(shape (circle F.Cu 2999.74))
(shape (circle B.Cu 2999.74))
(attach off)
)
(padstack Oval[A]Pad_2032x1727.2_um
(shape (path F.Cu 1727.2 -152.4 0 152.4 0))
(shape (path B.Cu 1727.2 -152.4 0 152.4 0))
(attach off)
)
(padstack Oval[A]Pad_1727.2x2032_um
(shape (path F.Cu 1727.2 0 -152.4 0 152.4))
(shape (path B.Cu 1727.2 0 -152.4 0 152.4))
(attach off)
)
(padstack Oval[A]Pad_1727.2x1727.2_um
(shape (path F.Cu 1727.2 0 0 0 0))
(shape (path B.Cu 1727.2 0 0 0 0))
(attach off)
)
(padstack Oval[A]Pad_2032x2032_um
(shape (path F.Cu 2032 0 0 0 0))
(shape (path B.Cu 2032 0 0 0 0))
(attach off)
)
(padstack Rect[A]Pad_2032x2032_um
(shape (rect F.Cu -1016 -1016 1016 1016))
(shape (rect B.Cu -1016 -1016 1016 1016))
(attach off)
)
(padstack Rect[A]Pad_2032x1727.2_um
(shape (rect F.Cu -1016 -863.6 1016 863.6))
(shape (rect B.Cu -1016 -863.6 1016 863.6))
(attach off)
)
(padstack Rect[A]Pad_2235.2x2235.2_um
(shape (rect F.Cu -1117.6 -1117.6 1117.6 1117.6))
(shape (rect B.Cu -1117.6 -1117.6 1117.6 1117.6))
(attach off)
)
(padstack Rect[T]Pad_1500x1300_um
(shape (rect F.Cu -750 -650 750 650))
(attach off)
)
(padstack Rect[T]Pad_1550x600_um
(shape (rect F.Cu -775 -300 775 300))
(attach off)
)
(padstack Rect[T]Pad_1600x1000_um
(shape (rect F.Cu -800 -500 800 500))
(attach off)
)
(padstack Rect[A]Pad_1727.2x1727.2_um
(shape (rect F.Cu -863.6 -863.6 863.6 863.6))
(shape (rect B.Cu -863.6 -863.6 863.6 863.6))
(attach off)
)
(padstack "Via[0-1]_685.8:330.2_um"
(shape (circle F.Cu 685.8))
(shape (circle B.Cu 685.8))
(attach off)
)
)
(network
(net GND
(pins P99-3 U5-GND1 U5-GND2 LED1-6 P2-10 P5-1 P5-2 P5-3 P5-4 P7-1 P7-11 P8-3
P98-3 P10-3 U6-4 C1-2 P11-2 P12-2 P14-4)
)
(net +5V
(pins P99-1 U5-5V P1-4 P1-3 P1-2 P1-1 LED1-5 LED1-3 P4-1 P8-1 P98-1 P10-1 U6-8
C1-1 P14-1 R2-1)
)
(net /UNUSED
(pins LED1-1 P6-1)
)
(net /VCC_Branch
(pins P2-9 P3-2 P4-2 P7-12)
)
(net /RST
(pins P2-8 P7-4)
)
(net /CS
(pins U5-D10 P2-7 P7-3)
)
(net /D13
(pins U5-D13 P2-6 P7-6)
)
(net /D11
(pins U5-D11 P2-5 P7-5)
)
(net /D12
(pins U5-D12 P2-4 P7-8)
)
(net /WOL
(pins P2-3 P7-7)
)
(net /INT
(pins P2-2 P7-10)
)
(net /CLKOUT
(pins P2-1 P7-9)
)
(net +3V3
(pins U5-3V3 P3-1 P15-1 P15-2 P15-3 P15-4)
)
(net /Q3
(pins P7-2)
)
(net /A0
(pins U5-A0 P10-2 U6-1)
)
(net VIN
(pins U5-VIN)
)
(net /A1
(pins U5-A1 P11-1)
)
(net /A2
(pins U5-A2 R1-1)
)
(net /D7
(pins U5-D7 P14-2 R2-2)
)
(net /D8
(pins P99-2 U5-D8 P8-2)
)
(net /D9
(pins U5-D9 P98-2 P12-1)
)
(net "Net-(U6-Pad2)"
(pins U6-2)
)
(net "Net-(U6-Pad3)"
(pins U6-3)
)
(net "Net-(U6-Pad5)"
(pins U6-5)
)
(net "Net-(U6-Pad6)"
(pins U6-6)
)
(net "Net-(U6-Pad7)"
(pins U6-7)
)
(net "Net-(LED1-Pad2)"
(pins LED1-2 R1-2)
)
(net "Net-(P14-Pad3)"
(pins P14-3)
)
(class kicad_default "" +3V3 +5V /A0 /A1 /A2 /CLKOUT /CS /D11 /D12 /D13
/D7 /D8 /D9 /INT /Q3 /RST /UNUSED /VCC_Branch /WOL GND "Net-(LED1-Pad2)"
"Net-(P14-Pad3)" "Net-(U6-Pad2)" "Net-(U6-Pad3)" "Net-(U6-Pad5)" "Net-(U6-Pad6)"
"Net-(U6-Pad7)" VIN
(circuit
(use_via Via[0-1]_685.8:330.2_um)
)
(rule
(width 254)
(clearance 254.1)
)
)
)
(wiring
(wire (path B.Cu 508 78105 -46163.8 80453.8 -43815)(net GND)(type protect))
(wire (path F.Cu 508 61595 -91440 61595 -87155)(net GND)(type protect))
(wire (path F.Cu 508 59690 -93345 61595 -91440)(net GND)(type protect))
(wire (path F.Cu 508 70815 -70790 71755 -69850)(net GND)(type protect))
(wire (path F.Cu 508 69175 -70790 70815 -70790)(net GND)(type protect))
(wire (path F.Cu 508 71755 -69850 74295 -69850 74295 -69850)(net GND)(type protect))
(wire (path F.Cu 508 63246 -51308 65278 -51308 68326 -54356 68326 -54356)(net GND)(type protect))
(wire (path F.Cu 508 51435 -55880 50800 -55245)(net GND)(type protect))
(wire (path F.Cu 508 59055 -55880 51435 -55880)(net GND)(type protect))
(wire (path B.Cu 508 79667.1 -40297.1 80010 -40640)(net GND)(type protect))
(wire (path B.Cu 508 73812.4 -34442.4 79667.1 -40297.1)(net GND)(type protect))
(wire (path B.Cu 762 71348.6 -34721.8 71628 -34442.4)(net GND)(type protect))
(wire (path B.Cu 762 71348.6 -34950.4 71348.6 -34721.8)(net GND)(type protect))
(wire (path B.Cu 508 69697.6 -34442.4 71628 -34442.4)(net GND)(type protect))
(wire (path B.Cu 762 70485 -35814 71348.6 -34950.4)(net GND)(type protect))
(wire (path B.Cu 508 71628 -34442.4 73812.4 -34442.4)(net GND)(type protect))
(wire (path B.Cu 762 69469 -34798 69342 -34798)(net GND)(type protect))
(wire (path B.Cu 762 70485 -35814 69469 -34798)(net GND)(type protect))
(wire (path B.Cu 508 69342 -34798 69697.6 -34442.4)(net GND)(type protect))
(wire (path B.Cu 508 67945 -36195 69342 -34798)(net GND)(type protect))
(wire (path B.Cu 762 67945 -36195 67056 -35306)(net GND)(type protect))
(wire (path B.Cu 762 65532 -51308 63246 -51308)(net GND)(type protect))
(wire (path B.Cu 762 67945 -48895 65532 -51308)(net GND)(type protect))
(wire (path B.Cu 1270 67945 -48895 69596 -48895)(net GND)(type protect))
(wire (path F.Cu 508 69335 -72550 69175 -72390)(net +5V)(type protect))
(wire (path F.Cu 508 71755 -72550 69335 -72550)(net +5V)(type protect))
(wire (path F.Cu 762 67310 -93065.6 67310 -91440)(net +5V)(type protect))
(wire (path F.Cu 762 63546 -96829.6 67310 -93065.6)(net +5V)(type protect))
(wire (path F.Cu 762 63546 -103256 63546 -96829.6)(net +5V)(type protect))
(wire (path F.Cu 762 58369.2 -108433 63546 -103256)(net +5V)(type protect))
(wire (path F.Cu 762 58369.2 -108585 58369.2 -108433)(net +5V)(type protect))
(wire (path F.Cu 762 67310 -91440 67310 -83820)(net +5V)(type protect))
(wire (path F.Cu 762 64538.5 -73525 57019.9 -73525)(net +5V)(type protect))
(wire (path F.Cu 762 69088 -78074.5 64538.5 -73525)(net +5V)(type protect))
(wire (path F.Cu 762 67462.4 -83820 69088 -82194.4 69088 -78074.5)(net +5V)(type protect))
(wire (path F.Cu 762 67310 -83820 67462.4 -83820)(net +5V)(type protect))
(wire (path F.Cu 762 51664.9 -65175.1 50165 -66675)(net +5V)(type protect))
(wire (path F.Cu 762 54999.7 -64540.1 52299.9 -64540.1)(net +5V)(type protect))
(wire (path F.Cu 762 56109.9 -65650.3 54999.7 -64540.1)(net +5V)(type protect))
(wire (path F.Cu 762 56109.9 -72615 56109.9 -65650.3)(net +5V)(type protect))
(wire (path F.Cu 762 52299.9 -64540.1 51664.9 -65175.1)(net +5V)(type protect))
(wire (path F.Cu 762 57019.9 -73525 56109.9 -72615)(net +5V)(type protect))
(wire (path F.Cu 508 73660 -81280 73660 -78265)(net +5V)(type protect))
(wire (path F.Cu 508 73660 -78165 71755 -76260)(net +5V)(type protect))
(wire (path F.Cu 508 71755 -73808 71755 -72550)(net +5V)(type protect))
(wire (path F.Cu 508 73660 -78265 73660 -78165)(net +5V)(type protect))
(wire (path F.Cu 508 69088 -78074.5 69880.5 -78074.5 71755 -76200 71755 -73808)(net +5V)(type protect))
(wire (path F.Cu 508 71755 -76260 71755 -76200)(net +5V)(type protect))
(wire (path F.Cu 508 41910 -76148.7 41910 -77470)(net +5V)(type protect))
(wire (path F.Cu 508 41910 -74676 41910 -76148.7)(net +5V)(type protect))
(wire (path F.Cu 508 48411.1 -68174.9 41910 -74676)(net +5V)(type protect))
(wire (path F.Cu 508 48665.1 -68174.9 48411.1 -68174.9)(net +5V)(type protect))
(wire (path F.Cu 508 50165 -66675 48665.1 -68174.9)(net +5V)(type protect))
(wire (path F.Cu 508 56997.6 -110109 54864 -110109)(net +5V)(type protect))
(wire (path F.Cu 508 58369.2 -108737 56997.6 -110109)(net +5V)(type protect))
(wire (path F.Cu 508 58369.2 -108585 58369.2 -108737)(net +5V)(type protect))
(wire (path F.Cu 508 53848 -94107 54610 -93345)(net +5V)(type protect))
(wire (path F.Cu 508 53848 -109093 53848 -94107)(net +5V)(type protect))
(wire (path F.Cu 508 54864 -110109 53848 -109093)(net +5V)(type protect))
(wire (path F.Cu 508 57785 -83038 57785 -81755)(net +5V)(type protect))
(wire (path F.Cu 508 56388 -84435 57785 -83038)(net +5V)(type protect))
(wire (path F.Cu 508 56388 -91567 56388 -84435)(net +5V)(type protect))
(wire (path F.Cu 508 54610 -93345 56388 -91567)(net +5V)(type protect))
(wire (path F.Cu 508 68580 -92710 67310 -91440)(net +5V)(type protect))
(wire (path F.Cu 508 76200 -92710 68580 -92710)(net +5V)(type protect))
(wire (path B.Cu 508 73444.1 -56085.2 73444.1 -71120)(net +5V)(type protect))
(wire (path B.Cu 508 85725 -38735 84201 -38735 75780.9 -47155.1)(net +5V)(type protect))
(wire (path B.Cu 508 75780.9 -53748.4 73444.1 -56085.2)(net +5V)(type protect))
(wire (path B.Cu 508 75780.9 -47155.1 75780.9 -53748.4)(net +5V)(type protect))
(wire (path B.Cu 508 73444.1 -72174.1 73444.1 -72174.1)(net +5V)(type protect))
(wire (path F.Cu 508 73444.1 -71120 73444.1 -72174.1)(net +5V)(type protect))
(wire (path F.Cu 508 72130.9 -72174.1 71755 -72550)(net +5V)(type protect))
(wire (path F.Cu 508 73444.1 -72174.1 72130.9 -72174.1)(net +5V)(type protect))
(wire (path F.Cu 508 60655 -70790 60325 -71120)(net /UNUSED)(type protect))
(wire (path F.Cu 508 64175 -70790 60655 -70790)(net /UNUSED)(type protect))
(wire (path B.Cu 762 53975 -70485 53975 -66675)(net /VCC_Branch)(type protect))
(wire (path B.Cu 762 64516 -60031.4 64516 -54864)(net /VCC_Branch)(type protect))
(wire (path B.Cu 762 57872.4 -66675 64516 -60031.4)(net /VCC_Branch)(type protect))
(wire (path B.Cu 762 53975 -66675 57872.4 -66675)(net /VCC_Branch)(type protect))
(wire (path B.Cu 762 64516 -54864 68580 -50800 69850 -50800)(net /VCC_Branch)(type protect))
(wire (path B.Cu 762 68580 -50800 68580 -50800)(net /VCC_Branch)(type protect))
(wire (path B.Cu 762 69850 -50800 71120 -50800 72390 -50800 73660 -50800)(net /VCC_Branch)(type protect))
(wire (path B.Cu 1270 68580 -50800 73660 -50800)(net /VCC_Branch)(type protect))
(wire (path B.Cu 1270 73660 -52070 73660 -52070)(net /VCC_Branch)(type protect))
(wire (path B.Cu 1270 69850 -52070 69850 -52070)(net /VCC_Branch)(type protect))
(wire (path B.Cu 1270 69850 -50800 69850 -52070)(net /VCC_Branch)(type protect))
(wire (path B.Cu 1270 73660 -52070 73660 -53340 72390 -53340)(net /VCC_Branch)(type protect))
(wire (path B.Cu 1270 69850 -52070 71120 -52070 72390 -52070)(net /VCC_Branch)(type protect))
(wire (path B.Cu 1270 73660 -52070 73660 -50800)(net /VCC_Branch)(type protect))
(wire (path B.Cu 1270 73660 -53340 73660 -53340)(net /VCC_Branch)(type protect))
(wire (path B.Cu 1270 72390 -53340 71120 -53340 69850 -53340 69850 -53340)(net /VCC_Branch)(type protect))
(wire (path F.Cu 1270 69850 -50800 73660 -50800 73660 -53340 69850 -53340)(net /VCC_Branch)(type protect))
(wire (path F.Cu 1270 69850 -52070 72390 -52070)(net /VCC_Branch)(type protect))
(wire (path B.Cu 1270 69850 -53340 69850 -52070)(net /VCC_Branch)(type protect))
(wire (path B.Cu 1270 72390 -52070 73660 -52070)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 69850 -49987.7 69850 -50165)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 69850 -50800 69850 -50165)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 67157.6 -34442.4 67437 -34442.4)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 65405 -36195 67157.6 -34442.4)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 67437 -34442.4 69638.7 -34442.4)(net /VCC_Branch)(type protect))
(wire (path F.Cu 508 71653.4 -34442.4 69638.7 -34442.4)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 72161.4 -34950.4 71653.4 -34442.4)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 73025 -35814 72161.4 -34950.4)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 73888.6 -36677.6 73025 -35814)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 75907.9 -38696.9 73888.6 -36677.6)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 75907.9 -49364.4 75907.9 -38696.9)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 75399.4 -49872.9 75907.9 -49364.4)(net /VCC_Branch)(type protect))
(wire (path F.Cu 762 70446.9 -49872.9 75399.4 -49872.9)(net /VCC_Branch)(type protect))
(wire (path F.Cu 508 56870.6 -60325 56870.6 -60172.6)(net /CS)(type protect))
(wire (path B.Cu 152.4 56870.6 -60325 56870.6 -60172.6)(net /CS)(type protect))
(wire (path B.Cu 152.4 49250.6 -60325 49250.6 -60477.4 50850.8 -62077.6 56958.7 -62077.6)(net /D13)(type protect))
(wire (path B.Cu 152.4 58064.4 -60971.9 58064.4 -59553.5)(net /D13)(type protect))
(wire (path B.Cu 152.4 56958.7 -62077.6 58064.4 -60971.9)(net /D13)(type protect))
(wire (path B.Cu 152.4 54330.6 -60325 54330.6 -52095.4)(net /D11)(type protect))
(wire (path B.Cu 152.4 55524.4 -60971.9 55524.4 -56743.6)(net /D12)(type protect))
(wire (path B.Cu 152.4 54825.1 -61671.2 55524.4 -60971.9)(net /D12)(type protect))
(wire (path B.Cu 152.4 52984.4 -61671.2 54825.1 -61671.2)(net /D12)(type protect))
(wire (path B.Cu 152.4 51790.6 -60477.4 52984.4 -61671.2)(net /D12)(type protect))
(wire (path B.Cu 152.4 51790.6 -60325 51790.6 -60477.4)(net /D12)(type protect))
(wire (path F.Cu 508 55829.2 -108585 55829.2 -108433)(net +3V3)(type protect))
(wire (path F.Cu 762 47345.6 -73660 45720 -73660)(net +3V3)(type protect))
(wire (path F.Cu 762 55718 -73660 47345.6 -73660)(net +3V3)(type protect))
(wire (path F.Cu 762 62530 -80472 55718 -73660)(net +3V3)(type protect))
(wire (path F.Cu 762 62530 -95280.2 62530 -80472)(net +3V3)(type protect))
(wire (path F.Cu 762 55829.2 -101981 62530 -95280.2)(net +3V3)(type protect))
(wire (path F.Cu 762 55829.2 -108585 55829.2 -101981)(net +3V3)(type protect))
(wire (path F.Cu 762 45720 -73660 45720 -73507.6)(net +3V3)(type protect))
(wire (path F.Cu 762 53340 -73660 50165 -70485)(net +3V3)(type protect))
(wire (path F.Cu 762 50800 -71120 50165 -70485)(net +3V3)(type protect))
(wire (path F.Cu 762 50800 -73660 50800 -71120)(net +3V3)(type protect))
(wire (path F.Cu 762 48260 -72390 50165 -70485)(net +3V3)(type protect))
(wire (path F.Cu 762 48260 -73660 48260 -72390)(net +3V3)(type protect))
(wire (path F.Cu 508 57124.6 -93370.4 57150 -93345)(net /A0)(type protect))
(wire (path F.Cu 508 57150 -87790 57785 -87155)(net /A0)(type protect))
(wire (path F.Cu 508 57150 -93345 57150 -87790)(net /A0)(type protect))
(wire (path F.Cu 508 57150 -94422.6 57150 -93345)(net /A0)(type protect))
(wire (path F.Cu 508 57150 -94488 57150 -94422.6)(net /A0)(type protect))
(wire (path F.Cu 508 71094.6 -108585 71094.6 -108433)(net /A0)(type protect))
(wire (path B.Cu 508 57150 -94422.6 57150 -93345)(net /A0)(type protect))
(wire (path B.Cu 508 60228.5 -100838 57150 -97759.5)(net /A0)(type protect))
(wire (path B.Cu 508 61976 -100838 60228.5 -100838)(net /A0)(type protect))
(wire (path B.Cu 508 69723 -108585 61976 -100838)(net /A0)(type protect))
(wire (path B.Cu 508 57150 -97759.5 57150 -94422.6)(net /A0)(type protect))
(wire (path B.Cu 508 71094.6 -108585 69723 -108585)(net /A0)(type protect))
(wire (path F.Cu 508 73634.6 -108585 73634.6 -107925)(net /A1)(type protect))
(wire (path B.Cu 254 68580 -103378 68580 -97155)(net /A1)(type protect))
(wire (path B.Cu 254 73634.6 -108433 68580 -103378)(net /A1)(type protect))
(wire (path B.Cu 254 73634.6 -108585 73634.6 -108433)(net /A1)(type protect))
(wire (path F.Cu 254 70485 -94160 70485 -94060)(net /A2)(type protect))
(wire (path F.Cu 254 71389 -95064 70485 -94160)(net /A2)(type protect))
(wire (path F.Cu 254 71489 -95064 71389 -95064)(net /A2)(type protect))
(wire (path F.Cu 254 76174.6 -99749.6 71489 -95064)(net /A2)(type protect))
(wire (path F.Cu 254 76174.6 -108585 76174.6 -99749.6)(net /A2)(type protect))
(wire (path F.Cu 254 66014.6 -60172.6 66014.6 -60325)(net /D7)(type protect))
(wire (path F.Cu 254 73660 -75665 73660 -75565)(net /D7)(type protect))
(wire (path F.Cu 254 75742.8 -77747.8 73660 -75665)(net /D7)(type protect))
(wire (path F.Cu 254 75742.8 -81737.2 75742.8 -77747.8)(net /D7)(type protect))
(wire (path F.Cu 254 73660 -83820 75742.8 -81737.2)(net /D7)(type protect))
(wire (path F.Cu 254 75018.9 -69481.7 66014.6 -60477.4)(net /D7)(type protect))
(wire (path F.Cu 254 75018.9 -73202.1 75018.9 -69481.7)(net /D7)(type protect))
(wire (path F.Cu 254 66014.6 -60477.4 66014.6 -60325)(net /D7)(type protect))
(wire (path F.Cu 254 73660 -74561 75018.9 -73202.1)(net /D7)(type protect))
(wire (path F.Cu 254 73660 -75565 73660 -74561)(net /D7)(type protect))
(wire (path F.Cu 254 72992.1 -87604.6 71120 -85732.5)(net /D8)(type protect))
(wire (path F.Cu 254 74277.2 -87604.6 72992.1 -87604.6)(net /D8)(type protect))
(wire (path F.Cu 254 76200 -95250 76352.4 -95250 77597 -94005.4 77597 -90924.5
74277.2 -87604.6)(net /D8)(type protect))
(wire (path F.Cu 254 71120 -85732.5 71120 -78740 71120 -78740)(net /D8)(type protect))
(wire (path B.Cu 254 71120 -78740 71120 -66675 67310 -62865 67310 -62865)(net /D8)(type protect))
(wire (path F.Cu 254 61950.6 -60477.4 61950.6 -60325)(net /D8)(type protect))
(wire (path F.Cu 254 64338.2 -62865 61950.6 -60477.4)(net /D8)(type protect))
(wire (path F.Cu 254 67310 -62865 64338.2 -62865)(net /D8)(type protect))
(wire (path B.Cu 254 43706.1 -79266.1 43706.1 -70605.5)(net /D8)(type protect))
(wire (path B.Cu 254 61950.6 -60477.4 61950.6 -60325)(net /D8)(type protect))
(wire (path B.Cu 254 57682.1 -64745.9 61950.6 -60477.4)(net /D8)(type protect))
(wire (path B.Cu 254 48895 -64745.9 48895 -64794.1)(net /D8)(type protect))
(wire (path B.Cu 254 48895 -64745.9 57682.1 -64745.9)(net /D8)(type protect))
(wire (path B.Cu 254 48895 -64794.1 48870.9 -64794.1)(net /D8)(type protect))
(wire (path B.Cu 254 45085 -68580 45085 -69226.6)(net /D8)(type protect))
(wire (path B.Cu 254 48870.9 -64794.1 45085 -68580)(net /D8)(type protect))
(wire (path B.Cu 254 43706.1 -70605.5 45085 -69226.6)(net /D8)(type protect))
(wire (path F.Cu 508 85725 -41275 85572.6 -41275)(net /D9)(type protect))
(wire (path F.Cu 254 59410.6 -60325 59410.6 -60172.6 64503.3 -55079.9 74448.5 -55079.9
75849.9 -53678.5)(net /D9)(type protect))
(wire (path F.Cu 254 83173.4 -41275 84455 -41275 85725 -41275)(net /D9)(type protect))
(wire (path B.Cu 254 64770 -78740 64770 -67945 61595 -64770 61595 -64770)(net /D9)(type protect))
(wire (path F.Cu 254 61595 -62509.4 59410.6 -60325)(net /D9)(type protect))
(wire (path F.Cu 254 61595 -64770 61595 -62509.4)(net /D9)(type protect))
(wire (path F.Cu 254 75849.9 -53678.5 75861.5 -53678.5 78740 -50800)(net /D9)(type protect))
(wire (path F.Cu 254 78740 -45708.4 83173.4 -41275)(net /D9)(type protect))
(wire (path F.Cu 254 78740 -50800 78740 -45708.4)(net /D9)(type protect))
(wire (path F.Cu 254 70485 -91360 70485 -88265 69850 -87630 69850 -86995 69850 -86995)(net "Net-(LED1-Pad2)")(type protect))
(wire (path B.Cu 254 69850 -86995 69850 -76200 66040 -72390)(net "Net-(LED1-Pad2)")(type protect))
(wire (path F.Cu 254 66040 -72390 64175 -72390)(net "Net-(LED1-Pad2)")(type protect))
(via "Via[0-1]_685.8:330.2_um" 74295 -69850 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 63246 -51308 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 68326 -54356 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 59055 -55880 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 50800 -55245 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 72390 -104140 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 73660 -102870 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 74930 -101600 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 66040 -100330 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 66040 -101600 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 66040 -102870 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 57150 -102870 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 58420 -102870 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 59690 -102870 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 49530 -78105 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 50800 -78105 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 52070 -78105 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 50800 -76835 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 50800 -79375 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 50800 -80645 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 50800 -81915 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 73660 -47625 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 73660 -48895 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 72390 -48895 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 74930 -48895 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 74930 -47625 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 77470 -43180 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 78740 -41910 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 80010 -40640 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 63500 -46990 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 62230 -48260 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 60960 -49530 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 69215 -60960 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 70485 -59055 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 68580 -57785 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 69850 -55880 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 77470 -50165 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 77470 -47625 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 77470 -48895 (net GND)(type protect))
(via "Via[0-1]_685.8:330.2_um" 73444.1 -72174.1 (net +5V)(type protect))
(via "Via[0-1]_685.8:330.2_um" 73444.1 -71120 (net +5V)(type protect))
(via "Via[0-1]_685.8:330.2_um" 69850 -50800 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 71120 -50800 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 72390 -50800 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 73660 -50800 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 69850 -52070 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 71120 -52070 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 72390 -52070 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 73660 -52070 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 73660 -53340 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 72390 -53340 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 71120 -53340 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 69850 -53340 (net /VCC_Branch)(type protect))
(via "Via[0-1]_685.8:330.2_um" 71120 -78740 (net /D8)(type protect))
(via "Via[0-1]_685.8:330.2_um" 67310 -62865 (net /D8)(type protect))
(via "Via[0-1]_685.8:330.2_um" 61595 -64770 (net /D9)(type protect))
(via "Via[0-1]_685.8:330.2_um" 69850 -86995 (net "Net-(LED1-Pad2)")(type protect))
(via "Via[0-1]_685.8:330.2_um" 66040 -72390 (net "Net-(LED1-Pad2)")(type protect))
)
)

+ 2466
- 0
ZMHW_Modector/pcb_switcher/uno.kicad_pcb
File diff suppressed because it is too large
View File


+ 2466
- 0
ZMHW_Modector/pcb_switcher/uno.kicad_pcb-bak
File diff suppressed because it is too large
View File


+ 689
- 0
ZMHW_Modector/pcb_switcher/uno.net View File

@ -0,0 +1,689 @@
(export (version D)
(design
(source /home/layoutdev/Desktop/code/documentation_general/Electronics_Projects_2019/ZMHW_Modector/pcb_switcher/uno.sch)
(date "Fri 06 Dec 2019 01:54:03 AM EST")
(tool "Eeschema 5.0.2+dfsg1-1~bpo9+1")
(sheet (number 1) (name /) (tstamps /)
(title_block
(title "ZMHW Modector")
(company "Steak Electronics Company")
(rev 1.8)
(date 2019-12-02)
(source uno.sch)
(comment (number 1) (value "Motion Sensor built for Zoneminder for GNU\\Linux"))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value "")))))
(components
(comp (ref U1)
(value HOLE)
(footprint ARDUINO-MOUNTING-HOLE)
(datasheet https://www.adafruit.com/products/50)
(fields
(field (name Description) "ARDUINO 101")
(field (name MF_Name) Arduino)
(field (name MF_PN) 101)
(field (name S1_Name) Adafruit)
(field (name S1_PN) 50))
(libsource (lib uno-rescue) (part ARDUINO-101-SHIELD) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 57282130))
(comp (ref U2)
(value HOLE)
(footprint ARDUINO-MOUNTING-HOLE)
(datasheet https://www.adafruit.com/products/50)
(fields
(field (name Description) "ARDUINO 101")
(field (name MF_Name) Arduino)
(field (name MF_PN) 101)
(field (name Package) ARDU-101SHIELD)
(field (name S1_Name) Adafruit)
(field (name S1_PN) 50)
(field (name Verified) "Not Verified"))
(libsource (lib uno-rescue) (part ARDUINO-101-SHIELD) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 57290D61))
(comp (ref U3)
(value HOLE)
(footprint ARDUINO-MOUNTING-HOLE)
(datasheet https://www.adafruit.com/products/50)
(fields
(field (name Description) "ARDUINO 101")
(field (name MF_Name) Arduino)
(field (name MF_PN) 101)
(field (name Package) ARDU-101SHIELD)
(field (name S1_Name) Adafruit)
(field (name S1_PN) 50)
(field (name Verified) "Not Verified"))
(libsource (lib uno-rescue) (part ARDUINO-101-SHIELD) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 57290D9E))
(comp (ref U4)
(value HOLE)
(footprint ARDUINO-MOUNTING-HOLE)
(datasheet https://www.adafruit.com/products/50)
(fields
(field (name Description) "ARDUINO 101")
(field (name MF_Name) Arduino)
(field (name MF_PN) 101)
(field (name Package) ARDU-101SHIELD)
(field (name S1_Name) Adafruit)
(field (name S1_PN) 50)
(field (name Verified) "Not Verified"))
(libsource (lib uno-rescue) (part ARDUINO-101-SHIELD) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 57290DDB))
(comp (ref U5)
(value ARDUINO-101-SHIELD)
(footprint Wickerlib:ARDUINO-UNO-SHIELD)
(datasheet https://www.adafruit.com/products/3033)
(fields
(field (name Description) "ARDUINO 101 SHIELD")
(field (name MF_Name) Arduino)
(field (name MF_PN) "UNO R3")
(field (name S1_Name) Adafruit)
(field (name S1_PN) 50))
(libsource (lib uno-rescue) (part ARDUINO-101-SHIELD) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 572986CB))
(comp (ref U6)
(value MC34063AD)
(footprint Package_SO:SOIC-8_3.9x4.9mm_P1.27mm)
(datasheet http://www.onsemi.com/pub_link/Collateral/MC34063A-D.PDF)
(libsource (lib Regulator_Switching) (part MC34063AD) (description "1.5A, step-up/down/inverting switching regulator, 3-40V Vin, 100kHz, SO-8"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEB3D4E))
(comp (ref J1)
(value Barrel_Jack)
(footprint Connector_BarrelJack:BarrelJack_Horizontal)
(datasheet ~)
(libsource (lib Connector) (part Barrel_Jack) (description "DC Barrel Jack"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEB5292))
(comp (ref R1)
(value 0.33R)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5DEB9776))
(comp (ref C1)
(value 100uf)
(footprint Capacitor_SMD:CP_Elec_6.3x5.9)
(datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEBB5E5))
(comp (ref R2)
(value 1.2K)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5DEBC91E))
(comp (ref R3)
(value 3.6K)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5DEBFC74))
(comp (ref C4)
(value 470uf)
(footprint Capacitor_SMD:CP_Elec_6.3x5.9)
(datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEC12E3))
(comp (ref C2)
(value 470pf)
(footprint Capacitor_SMD:CP_Elec_6.3x5.9)
(datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEC3B0B))
(comp (ref D1)
(value 1N5819)
(footprint Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal)
(datasheet http://www.vishay.com/docs/88525/1n5817.pdf)
(libsource (lib Diode) (part 1N5819) (description "40V 1A Schottky Barrier Rectifier Diode, DO-41"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEC3F6F))
(comp (ref L2)
(value 220uH)
(footprint Inductor_SMD:L_Bourns-SRN1060)
(datasheet ~)
(libsource (lib Device) (part L_Core_Iron) (description "Inductor with iron core"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEC58CA))
(comp (ref L1)
(value 1uH)
(footprint Inductor_SMD:L_Bourns-SRN1060)
(datasheet ~)
(libsource (lib Device) (part L_Core_Iron) (description "Inductor with iron core"))
(sheetpath (names /) (tstamps /))
(tstamp 5DED30ED))
(comp (ref C3)
(value 100uf)
(footprint Capacitor_SMD:CP_Elec_6.3x5.9)
(datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5DED31AD))
(comp (ref JP3)
(value Jumper)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Horizontal)
(datasheet ~)
(libsource (lib Device) (part Jumper) (description "Jumper, normally closed"))
(sheetpath (names /) (tstamps /))
(tstamp 5DED4D90))
(comp (ref JP1)
(value Jumper)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Horizontal)
(datasheet ~)
(libsource (lib Device) (part Jumper) (description "Jumper, normally closed"))
(sheetpath (names /) (tstamps /))
(tstamp 5DED5A7F))
(comp (ref JP2)
(value Jumper)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Horizontal)
(datasheet ~)
(libsource (lib Device) (part Jumper) (description "Jumper, normally closed"))
(sheetpath (names /) (tstamps /))
(tstamp 5DED5B13))
(comp (ref F1)
(value Fuse)
(footprint Fuse:Fuse_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part Fuse) (description Fuse))
(sheetpath (names /) (tstamps /))
(tstamp 5DEDB474))
(comp (ref MCNOFILTERCON1)
(value Conn_01x01_Female)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x01_P2.54mm_Vertical)
(datasheet ~)
(libsource (lib Connector) (part Conn_01x01_Female) (description "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEDF075))
(comp (ref U7)
(value LM2595T-ADJ)
(footprint Package_TO_SOT_THT:TO-220-5_P3.4x3.7mm_StaggerOdd_Lead3.8mm_Vertical)
(datasheet http://www.ti.com.cn/cn/lit/ds/symlink/lm2595.pdf)
(libsource (lib Regulator_Switching) (part LM2595T-ADJ) (description "Adjustable Output 1A Step-Down Voltage Regulator, TO-220-5"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEE1FB4))
(comp (ref C5)
(value 120uf)
(footprint Capacitor_SMD:CP_Elec_6.3x5.9)
(datasheet ~)
(libsource (lib Device) (part CP) (description "Polarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEE9ADF))
(comp (ref D2)
(value 1N5822)
(footprint Diode_THT:D_DO-201AD_P15.24mm_Horizontal)
(datasheet http://www.vishay.com/docs/88526/1n5820.pdf)
(libsource (lib Diode) (part 1N5822) (description "40V 3A Schottky Barrier Rectifier Diode, DO-201AD"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEED24C))
(comp (ref L4)
(value 100uf)
(footprint Inductor_SMD:L_Bourns-SRN1060)
(datasheet ~)
(libsource (lib Device) (part L_Core_Iron) (description "Inductor with iron core"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEF23EB))
(comp (ref C7)
(value 120uf)
(footprint Capacitor_SMD:CP_Elec_6.3x5.9)
(datasheet ~)
(libsource (lib Device) (part CP) (description "Polarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5DEF4B20))
(comp (ref R4)
(value "1K 1%")
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5DEFE1C8))
(comp (ref R5)
(value 3K)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5DF0091A))
(comp (ref OPTIONALCAP1)
(value 0uf)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5DF062AB))
(comp (ref LMNOFILTERCON1)
(value Conn_01x01_Female)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x01_P2.54mm_Vertical)
(datasheet ~)
(libsource (lib Connector) (part Conn_01x01_Female) (description "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5DF0C434))
(comp (ref L3)
(value 3uH)
(footprint Inductor_SMD:L_Bourns-SRN1060)
(datasheet ~)
(libsource (lib pspice) (part INDUCTOR) (description "Capacitor symbol for simulation only"))
(sheetpath (names /) (tstamps /))
(tstamp 5DF0CD70))
(comp (ref C6)
(value 180uf)
(footprint Capacitor_SMD:CP_Elec_6.3x5.9)
(datasheet ~)
(libsource (lib Device) (part CP) (description "Polarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5DF0F6F3))
(comp (ref JP4)
(value Jumper)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Horizontal)
(datasheet ~)
(libsource (lib Device) (part Jumper) (description "Jumper, normally closed"))
(sheetpath (names /) (tstamps /))
(tstamp 5DF1489B))
(comp (ref ONOFFLM_CON1)
(value Conn_01x01_Female)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x01_P2.54mm_Vertical)
(datasheet ~)
(libsource (lib Connector) (part Conn_01x01_Female) (description "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5DF255CD)))
(libparts
(libpart (lib Connector) (part Barrel_Jack)
(aliases
(alias Jack-DC))
(description "DC Barrel Jack")
(docs ~)
(footprints
(fp BarrelJack*))
(fields
(field (name Reference) J)
(field (name Value) Barrel_Jack))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Connector) (part Conn_01x01_Female)
(description "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
(footprints
(fp Connector*:*))
(fields
(field (name Reference) J)
(field (name Value) Conn_01x01_Female))
(pins
(pin (num 1) (name Pin_1) (type passive))))
(libpart (lib Device) (part CP)
(description "Polarized capacitor")
(docs ~)
(footprints
(fp CP_*))
(fields
(field (name Reference) C)
(field (name Value) CP))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Device) (part C_Small)
(description "Unpolarized capacitor, small symbol")
(docs ~)
(footprints
(fp C_*))
(fields
(field (name Reference) C)
(field (name Value) C_Small))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Device) (part Fuse)
(description Fuse)
(docs ~)
(footprints
(fp *Fuse*))
(fields
(field (name Reference) F)
(field (name Value) Fuse))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Device) (part Jumper)
(description "Jumper, normally closed")
(docs ~)
(fields
(field (name Reference) JP)
(field (name Value) Jumper))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib Device) (part L_Core_Iron)
(description "Inductor with iron core")
(docs ~)
(footprints
(fp Choke_*)
(fp *Coil*)
(fp Inductor_*)
(fp L_*))
(fields
(field (name Reference) L)
(field (name Value) L_Core_Iron))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib Device) (part R)
(description Resistor)
(docs ~)
(footprints
(fp R_*))
(fields
(field (name Reference) R)
(field (name Value) R))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib Diode) (part 1N5820)
(aliases
(alias 1N5821)
(alias 1N5822)
(alias MBR340))
(description "20V 3A Schottky Barrier Rectifier Diode, DO-201AD")
(docs http://www.vishay.com/docs/88526/1n5820.pdf)
(footprints
(fp D*DO?201AD*))
(fields
(field (name Reference) D)
(field (name Value) 1N5820)
(field (name Footprint) Diode_THT:D_DO-201AD_P15.24mm_Horizontal))
(pins
(pin (num 1) (name K) (type passive))
(pin (num 2) (name A) (type passive))))
(libpart (lib Diode) (part SB120)
(aliases
(alias SB130)
(alias SB140)
(alias SB150)
(alias SB160)
(alias 1N5817)
(alias 1N5818)
(alias 1N5819))
(description "20V 1A Schottky Barrier Rectifier Diode, DO-41")
(docs http://www.diodes.com/_files/datasheets/ds23022.pdf)
(footprints
(fp D*DO?41*))
(fields
(field (name Reference) D)
(field (name Value) SB120)
(field (name Footprint) Diode_THT:D_DO-41_SOD81_P10.16mm_Horizontal))
(pins
(pin (num 1) (name K) (type passive))
(pin (num 2) (name A) (type passive))))
(libpart (lib Regulator_Switching) (part LM2595T-12)
(aliases
(alias LM2595T-3.3)
(alias LM2595T-5)
(alias LM2595T-ADJ))
(description "12V, 1A Step-Down Voltage Regulator, TO-220-5")
(docs http://www.ti.com.cn/cn/lit/ds/symlink/lm2595.pdf)
(footprints
(fp TO?220*))
(fields
(field (name Reference) U)
(field (name Value) LM2595T-12)
(field (name Footprint) Package_TO_SOT_THT:TO-220-5_P3.4x3.7mm_StaggerOdd_Lead3.8mm_Vertical))
(pins
(pin (num 1) (name OUT) (type output))
(pin (num 2) (name VIN) (type power_in))
(pin (num 3) (name GND) (type power_in))
(pin (num 4) (name FB) (type input))
(pin (num 5) (name ~ON~/OFF) (type input))))
(libpart (lib Regulator_Switching) (part MC33063AD)
(aliases
(alias SC33063AD)
(alias NCV33063AVD)
(alias MC34063AD))
(description "1.5A, step-up/down/inverting switching regulator, 3-40V Vin, 100kHz, SO-8")
(docs http://www.onsemi.com/pub_link/Collateral/MC34063A-D.PDF)
(footprints
(fp SOIC*3.9x4.9mm*P1.27mm*))
(fields
(field (name Reference) U)
(field (name Value) MC33063AD)
(field (name Footprint) Package_SO:SOIC-8_3.9x4.9mm_P1.27mm))
(pins
(pin (num 1) (name SwC) (type openCol))
(pin (num 2) (name SwE) (type openEm))
(pin (num 3) (name TC) (type passive))
(pin (num 4) (name GND) (type power_in))
(pin (num 5) (name Vfb) (type input))
(pin (num 6) (name Vin) (type power_in))
(pin (num 7) (name Ipk) (type input))
(pin (num 8) (name DC) (type openCol))))
(libpart (lib pspice) (part INDUCTOR)
(description "Capacitor symbol for simulation only")
(docs ~)
(fields
(field (name Reference) L)
(field (name Value) INDUCTOR))
(pins
(pin (num 1) (name 1) (type input))
(pin (num 2) (name 2) (type input))))
(libpart (lib uno-rescue) (part ARDUINO-101-SHIELD)
(fields
(field (name Reference) U)
(field (name Value) ARDUINO-101-SHIELD)
(field (name Footprint) ARDU-101SHIELD)
(field (name Datasheet) https://www.adafruit.com/products/3033)
(field (name Package) ARDU-101SHIELD)
(field (name MF_Name) Arduino)
(field (name MF_PN) "UNO R3")
(field (name S1_Name) Adafruit)
(field (name S1_PN) 50)
(field (name Description) "ARDUINO 101 SHIELD")
(field (name Verified) "Not Verified"))
(pins
(pin (num 1) (name MISO) (type power_in))
(pin (num 2) (name VCC) (type power_in))
(pin (num 3) (name SCK) (type power_in))
(pin (num 4) (name MOSI) (type power_in))
(pin (num 5) (name RESET) (type power_in))
(pin (num 5V) (name 5V) (type power_in))
(pin (num 6) (name GND) (type power_in))
(pin (num 3V3) (name 3.3V) (type power_out))
(pin (num A0) (name A0) (type BiDi))
(pin (num A1) (name A1) (type BiDi))
(pin (num A2) (name A2) (type BiDi))
(pin (num A3) (name A3) (type BiDi))
(pin (num A4) (name A4) (type BiDi))
(pin (num A5) (name A5) (type BiDi))
(pin (num AREF) (name AREF) (type power_in))
(pin (num D0) (name D0) (type BiDi))
(pin (num D1) (name D1) (type BiDi))
(pin (num D2) (name D2) (type BiDi))
(pin (num D3) (name D3) (type BiDi))
(pin (num D4) (name D4) (type BiDi))
(pin (num D5) (name D5) (type BiDi))
(pin (num D6) (name D6) (type BiDi))
(pin (num D7) (name D7) (type BiDi))
(pin (num D8) (name D8) (type BiDi))
(pin (num D9) (name D9) (type BiDi))
(pin (num D10) (name D10) (type BiDi))
(pin (num D11) (name D11) (type BiDi))
(pin (num D12) (name D12) (type BiDi))
(pin (num D13) (name D13) (type BiDi))
(pin (num GND1) (name GND) (type power_in))
(pin (num GND2) (name GND) (type power_in))
(pin (num GND3) (name GND) (type power_in))
(pin (num IO) (name IOREF) (type power_in))
(pin (num NC) (name NC) (type NotConnected))
(pin (num RST) (name RESET) (type BiDi))
(pin (num SCL) (name SCL) (type BiDi))
(pin (num SDA) (name SDA) (type BiDi))
(pin (num VIN) (name VIN) (type power_in)))))
(libraries
(library (logical Connector)
(uri /usr/share/kicad/library/Connector.lib))
(library (logical Device)
(uri /usr/share/kicad/library/Device.lib))
(library (logical Diode)
(uri /usr/share/kicad/library/Diode.lib))
(library (logical Regulator_Switching)
(uri /usr/share/kicad/library/Regulator_Switching.lib))
(library (logical pspice)
(uri /usr/share/kicad/library/pspice.lib))
(library (logical uno-rescue)
(uri /home/layoutdev/Desktop/code/documentation_general/Electronics_Projects_2019/ZMHW_Modector/pcb_switcher/uno-rescue.lib)))
(nets
(net (code 1) (name +5V)
(node (ref JP4) (pin 2))
(node (ref U5) (pin 5V))
(node (ref JP3) (pin 2)))
(net (code 2) (name VIN)
(node (ref F1) (pin 2))
(node (ref U5) (pin VIN)))
(net (code 3) (name /LMVIN)
(node (ref C5) (pin 1))
(node (ref JP2) (pin 2))
(node (ref U7) (pin 2)))
(net (code 4) (name /MCVIN)
(node (ref U6) (pin 6))
(node (ref C1) (pin 1))
(node (ref JP1) (pin 2))
(node (ref R1) (pin 2)))
(net (code 5) (name GND)
(node (ref ONOFFLM_CON1) (pin 1))
(node (ref J1) (pin 2))
(node (ref R2) (pin 1))
(node (ref C5) (pin 2))
(node (ref D2) (pin 2))
(node (ref C6) (pin 2))
(node (ref C7) (pin 2))
(node (ref R4) (pin 2))
(node (ref U7) (pin 5))
(node (ref C2) (pin 2))
(node (ref C1) (pin 2))
(node (ref U6) (pin 4))
(node (ref U7) (pin 3))
(node (ref C3) (pin 2))
(node (ref U5) (pin GND3))
(node (ref D1) (pin 2))
(node (ref U5) (pin GND1))
(node (ref U5) (pin GND2))
(node (ref C4) (pin 2)))
(net (code 6) (name "Net-(C2-Pad1)")
(node (ref U6) (pin 3))
(node (ref C2) (pin 1)))
(net (code 7) (name "Net-(R2-Pad2)")
(node (ref R3) (pin 2))
(node (ref R2) (pin 2))
(node (ref U6) (pin 5)))
(net (code 8) (name /MCVOUTWOUTFILTER)
(node (ref C4) (pin 1))
(node (ref MCNOFILTERCON1) (pin 1))
(node (ref R3) (pin 1))
(node (ref L1) (pin 1))
(node (ref L2) (pin 2)))
(net (code 9) (name /LMVOUTWOUTFILTER)
(node (ref R5) (pin 1))
(node (ref OPTIONALCAP1) (pin 1))
(node (ref LMNOFILTERCON1) (pin 1))
(node (ref C7) (pin 1))
(node (ref L4) (pin 2))
(node (ref L3) (pin 1)))
(net (code 10) (name "Net-(OPTIONALCAP1-Pad2)")
(node (ref OPTIONALCAP1) (pin 2))
(node (ref U7) (pin 4))
(node (ref R4) (pin 1))
(node (ref R5) (pin 2)))
(net (code 11) (name /VINAFTERFUSE)
(node (ref F1) (pin 1))
(node (ref JP2) (pin 1))
(node (ref JP1) (pin 1)))
(net (code 12) (name /TOINDUCTOR_MC)
(node (ref L2) (pin 1))
(node (ref D1) (pin 1))
(node (ref U6) (pin 2)))
(net (code 13) (name /AfterMCFilter)
(node (ref L1) (pin 2))
(node (ref C3) (pin 1))
(node (ref JP3) (pin 1)))
(net (code 14) (name /AfterLMFilter)
(node (ref L3) (pin 2))
(node (ref C6) (pin 1))
(node (ref JP4) (pin 1)))
(net (code 15) (name /LM_TOINDUCTOR)
(node (ref U7) (pin 1))
(node (ref D2) (pin 1))
(node (ref L4) (pin 1)))
(net (code 16) (name /A5)
(node (ref U5) (pin A5))
(node (ref U5) (pin SCL)))
(net (code 17) (name /A4)
(node (ref U5) (pin A4))
(node (ref U5) (pin SDA)))
(net (code 18) (name /D5)
(node (ref U5) (pin D5)))
(net (code 19) (name /D13)
(node (ref U5) (pin D13)))
(net (code 20) (name /D12)
(node (ref U5) (pin D12)))
(net (code 21) (name /D11)
(node (ref U5) (pin D11)))
(net (code 22) (name /D10)
(node (ref U5) (pin D10)))
(net (code 23) (name /D9)
(node (ref U5) (pin D9)))
(net (code 24) (name /D8)
(node (ref U5) (pin D8)))
(net (code 25) (name /D7)
(node (ref U5) (pin D7)))
(net (code 26) (name /D6)
(node (ref U5) (pin D6)))
(net (code 27) (name /A3)
(node (ref U5) (pin A3)))
(net (code 28) (name /D4)
(node (ref U5) (pin D4)))
(net (code 29) (name /D3)
(node (ref U5) (pin D3)))
(net (code 30) (name /D2)
(node (ref U5) (pin D2)))
(net (code 31) (name /D1)
(node (ref U5) (pin D1)))
(net (code 32) (name /D0)
(node (ref U5) (pin D0)))
(net (code 33) (name +3V3)
(node (ref U5) (pin 3V3)))
(net (code 34) (name RESET)
(node (ref U5) (pin RST)))
(net (code 35) (name IOREF)
(node (ref U5) (pin IO)))
(net (code 36) (name "Net-(U4-Pad1)")
(node (ref U4) (pin 1)))
(net (code 37) (name "Net-(U3-Pad1)")
(node (ref U3) (pin 1)))
(net (code 38) (name "Net-(U2-Pad1)")
(node (ref U2) (pin 1)))
(net (code 39) (name /A2)
(node (ref U5) (pin A2)))
(net (code 40) (name /A1)
(node (ref U5) (pin A1)))
(net (code 41) (name /A0)
(node (ref U5) (pin A0)))
(net (code 42) (name /VIN12V)
(node (ref J1) (pin 1)))
(net (code 43) (name "Net-(R1-Pad1)")
(node (ref R1) (pin 1))
(node (ref U6) (pin 8))
(node (ref U6) (pin 7))
(node (ref U6) (pin 1)))
(net (code 44) (name "Net-(U5-PadNC)")
(node (ref U5) (pin NC)))
(net (code 45) (name /AREF)
(node (ref U5) (pin AREF)))
(net (code 46) (name "Net-(U1-Pad1)")
(node (ref U1) (pin 1)))))

+ 40
- 0
ZMHW_Modector/pcb_switcher/uno.pro View File

@ -0,0 +1,40 @@
update=Mon 12 Nov 2018 10:50:35 PM EST
version=1
last_client=kicad
[cvpcb]
version=1
NetIExt=net
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.016000000000
PcbTextSizeH=1.016000000000
PcbTextThickness=0.152400000000
ModuleTextSizeV=1.016000000000
ModuleTextSizeH=1.016000000000
ModuleTextSizeThickness=0.152400000000
SolderMaskClearance=0.003000000000
SolderMaskMinWidth=0.004000000000
DrawSegmentWidth=0.152400000000
BoardOutlineThickness=0.152400000000
ModuleOutlineThickness=0.152400000000
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=
SpiceForceRefPrefix=0
SpiceUseNetNumbers=0
LabSize=50
[general]
version=1
[eeschema]
version=1
LibDir=

+ 79
- 0
ZMHW_Modector/pcb_switcher/uno.rules View File

@ -0,0 +1,79 @@
(rules PCB uno
(snap_angle
fortyfive_degree
)
(autoroute_settings
(fanout off)
(autoroute on)
(postroute on)
(vias on)
(via_costs 50)
(plane_via_costs 5)
(start_ripup_costs 100)
(start_pass_no 86)
(layer_rule F.Cu
(active on)
(preferred_direction vertical)
(preferred_direction_trace_costs 1.0)
(against_preferred_direction_trace_costs 2.5)
)
(layer_rule B.Cu
(active on)
(preferred_direction horizontal)
(preferred_direction_trace_costs 1.0)
(against_preferred_direction_trace_costs 1.6)
)
)
(rule
(width 254.0)
(clear 254.2)
(clear 127.0 (type smd_to_turn_gap))
(clear 63.6 (type smd_smd))
)
(padstack "Via[0-1]_685.8:330.2_um"
(shape
(circle F.Cu 685.8 0.0 0.0)
)
(shape
(circle B.Cu 685.8 0.0 0.0)
)
(attach off)
)
(via
"Via[0-1]_685.8:330.2_um" "Via[0-1]_685.8:330.2_um" default
)
(via
"Via[0-1]_685.8:330.2_um-kicad_default" "Via[0-1]_685.8:330.2_um" "kicad_default"
)
(via_rule
default "Via[0-1]_685.8:330.2_um"
)
(via_rule
"kicad_default" "Via[0-1]_685.8:330.2_um-kicad_default"
)
(class default
(clearance_class default)
(via_rule default)
(rule
(width 254.0)
)
(circuit
(use_layer F.Cu B.Cu)
)
)
(class "kicad_default"
GND +5V /UNUSED "/VCC_Branch" /RST /CS /D13 /D11
/D12 /WOL /INT /CLKOUT +3V3 /Q3 /A0 VIN
/A1 /A2 /D7 /D8 /D9 "Net-(U6-Pad2)" "Net-(U6-Pad3)" "Net-(U6-Pad5)"
"Net-(U6-Pad6)" "Net-(U6-Pad7)" "Net-(LED1-Pad2)" "Net-(P14-Pad3)"
(clearance_class "kicad_default")
(via_rule kicad_default)
(rule
(width 254.0)
)
(circuit
(use_layer F.Cu B.Cu)
)
)
)

+ 1068
- 0
ZMHW_Modector/pcb_switcher/uno.sch
File diff suppressed because it is too large
View File


+ 1485
- 0
ZMHW_Modector/pcb_switcher/uno.ses
File diff suppressed because it is too large
View File


Loading…
Cancel
Save