Browse Source

upd

master
Your Name 3 years ago
parent
commit
706bcd6ff9
6 changed files with 4684 additions and 0 deletions
  1. +17
    -0
      Lattice_Icestorm/code/test1/blink.v
  2. +16
    -0
      Lattice_Icestorm/code/test1/build.sh
  3. +25
    -0
      Lattice_Icestorm/code/test1/icestick.pcf
  4. BIN
      Lattice_Icestorm/code/test1/txtbin/blink.bin
  5. +236
    -0
      Lattice_Icestorm/code/test1/txtbin/blink.blif
  6. +4390
    -0
      Lattice_Icestorm/code/test1/txtbin/blink.txt

+ 17
- 0
Lattice_Icestorm/code/test1/blink.v View File

@ -0,0 +1,17 @@
`default_nettype none
// define a Blink module
module Blink(input CLK_IN, output GLED5, output RLED1, output RLED2, output RLED3, output RLED4);
// define a 24-bit counter to divide the clock down from 12MHz
localparam WIDTH = 24;
reg [WIDTH-1:0] counter;
// run counter from 12MHz clock
always @(posedge CLK_IN)
counter <= counter + 1;
// wire up the red LEDs to the counter MSB
assign RLED1 = counter[WIDTH-1];
endmodule

+ 16
- 0
Lattice_Icestorm/code/test1/build.sh View File

@ -0,0 +1,16 @@
#!/bin/bash
#adapted from https://github.com/leedowthwaite/HelloIce
#changes: separate folder for dev files
#simplified bash script
MAIN=$1
mkdir txtbin
echo Using yosys to synthesize design
yosys -p "synth_ice40 -blif txtbin/$MAIN.blif" $MAIN.v $@
echo Place and route with arachne-pnr
arachne-pnr -d 1k -p icestick.pcf txtbin/$MAIN.blif -o txtbin/$MAIN.txt
echo Converting ASCII output to bitstream
icepack txtbin/$MAIN.txt txtbin/$MAIN.bin
echo Sending bitstream to device
iceprog ${ICEPROG_ARGS} $MAIN.bin

+ 25
- 0
Lattice_Icestorm/code/test1/icestick.pcf View File

@ -0,0 +1,25 @@
set_io CLK_IN 21
set_io J3_10 44
set_io J3_9 45
set_io J3_8 47
set_io J3_7 48
set_io J3_6 56
set_io J3_5 60
set_io J3_4 61
set_io J3_3 62
set_io GLED5 95
set_io RLED4 96
set_io RLED3 97
set_io RLED2 98
set_io RLED1 99
set_io IR_TX 105
set_io IR_RX 106
set_io IR_SD 107
set_io J1_3 112
set_io J1_4 113
set_io J1_5 114
set_io J1_6 115
set_io J1_7 116
set_io J1_8 117
set_io J1_9 118
set_io J1_10 119

BIN
Lattice_Icestorm/code/test1/txtbin/blink.bin View File


+ 236
- 0
Lattice_Icestorm/code/test1/txtbin/blink.blif View File

@ -0,0 +1,236 @@
# Generated by Yosys 0.9+2406 (git sha1 eed05953, clang 3.8.1-24 -fPIC -Os)
.model Blink
.inputs CLK_IN
.outputs GLED5 RLED1 RLED2 RLED3 RLED4
.names $false
.names $true
1
.names $undef
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[23] Q=RLED1
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_LUT4 I0=$false I1=$false I2=RLED1 I3=RLED1_SB_LUT4_I2_I3[23] O=RLED1_SB_LUT4_I2_O[23]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=counter[0] O=RLED1_SB_LUT4_I2_O[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
.param LUT_INIT 0000000011111111
.gate SB_LUT4 I0=$false I1=$false I2=counter[10] I3=RLED1_SB_LUT4_I2_I3[10] O=RLED1_SB_LUT4_I2_O[10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[22] I3=RLED1_SB_LUT4_I2_I3[22] O=RLED1_SB_LUT4_I2_O[22]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[21] I3=RLED1_SB_LUT4_I2_I3[21] O=RLED1_SB_LUT4_I2_O[21]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[20] I3=RLED1_SB_LUT4_I2_I3[20] O=RLED1_SB_LUT4_I2_O[20]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[1] I3=counter[0] O=RLED1_SB_LUT4_I2_O[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[19] I3=RLED1_SB_LUT4_I2_I3[19] O=RLED1_SB_LUT4_I2_O[19]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[18] I3=RLED1_SB_LUT4_I2_I3[18] O=RLED1_SB_LUT4_I2_O[18]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[17] I3=RLED1_SB_LUT4_I2_I3[17] O=RLED1_SB_LUT4_I2_O[17]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[16] I3=RLED1_SB_LUT4_I2_I3[16] O=RLED1_SB_LUT4_I2_O[16]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[15] I3=RLED1_SB_LUT4_I2_I3[15] O=RLED1_SB_LUT4_I2_O[15]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[14] I3=RLED1_SB_LUT4_I2_I3[14] O=RLED1_SB_LUT4_I2_O[14]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[9] I3=RLED1_SB_LUT4_I2_I3[9] O=RLED1_SB_LUT4_I2_O[9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[13] I3=RLED1_SB_LUT4_I2_I3[13] O=RLED1_SB_LUT4_I2_O[13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[12] I3=RLED1_SB_LUT4_I2_I3[12] O=RLED1_SB_LUT4_I2_O[12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[11] I3=RLED1_SB_LUT4_I2_I3[11] O=RLED1_SB_LUT4_I2_O[11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[8] I3=RLED1_SB_LUT4_I2_I3[8] O=RLED1_SB_LUT4_I2_O[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[7] I3=RLED1_SB_LUT4_I2_I3[7] O=RLED1_SB_LUT4_I2_O[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[6] I3=RLED1_SB_LUT4_I2_I3[6] O=RLED1_SB_LUT4_I2_O[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[5] I3=RLED1_SB_LUT4_I2_I3[5] O=RLED1_SB_LUT4_I2_O[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[4] I3=RLED1_SB_LUT4_I2_I3[4] O=RLED1_SB_LUT4_I2_O[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[3] I3=RLED1_SB_LUT4_I2_I3[3] O=RLED1_SB_LUT4_I2_O[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=counter[2] I3=RLED1_SB_LUT4_I2_I3[2] O=RLED1_SB_LUT4_I2_O[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=counter[0] CO=RLED1_SB_LUT4_I2_I3[2] I0=$false I1=counter[1]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[9] CO=RLED1_SB_LUT4_I2_I3[10] I0=$false I1=counter[9]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[8] CO=RLED1_SB_LUT4_I2_I3[9] I0=$false I1=counter[8]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[20] CO=RLED1_SB_LUT4_I2_I3[21] I0=$false I1=counter[20]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[19] CO=RLED1_SB_LUT4_I2_I3[20] I0=$false I1=counter[19]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[18] CO=RLED1_SB_LUT4_I2_I3[19] I0=$false I1=counter[18]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[17] CO=RLED1_SB_LUT4_I2_I3[18] I0=$false I1=counter[17]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[16] CO=RLED1_SB_LUT4_I2_I3[17] I0=$false I1=counter[16]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[15] CO=RLED1_SB_LUT4_I2_I3[16] I0=$false I1=counter[15]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[14] CO=RLED1_SB_LUT4_I2_I3[15] I0=$false I1=counter[14]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[13] CO=RLED1_SB_LUT4_I2_I3[14] I0=$false I1=counter[13]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[12] CO=RLED1_SB_LUT4_I2_I3[13] I0=$false I1=counter[12]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[11] CO=RLED1_SB_LUT4_I2_I3[12] I0=$false I1=counter[11]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[7] CO=RLED1_SB_LUT4_I2_I3[8] I0=$false I1=counter[7]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[10] CO=RLED1_SB_LUT4_I2_I3[11] I0=$false I1=counter[10]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[6] CO=RLED1_SB_LUT4_I2_I3[7] I0=$false I1=counter[6]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[5] CO=RLED1_SB_LUT4_I2_I3[6] I0=$false I1=counter[5]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[4] CO=RLED1_SB_LUT4_I2_I3[5] I0=$false I1=counter[4]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[3] CO=RLED1_SB_LUT4_I2_I3[4] I0=$false I1=counter[3]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[2] CO=RLED1_SB_LUT4_I2_I3[3] I0=$false I1=counter[2]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[22] CO=RLED1_SB_LUT4_I2_I3[23] I0=$false I1=counter[22]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_CARRY CI=RLED1_SB_LUT4_I2_I3[21] CO=RLED1_SB_LUT4_I2_I3[22] I0=$false I1=counter[21]
.attr src "blink.v:12.14-12.25|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[22] Q=counter[22]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[21] Q=counter[21]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[12] Q=counter[12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[11] Q=counter[11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[10] Q=counter[10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[9] Q=counter[9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[8] Q=counter[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[7] Q=counter[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[6] Q=counter[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[5] Q=counter[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[4] Q=counter[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[3] Q=counter[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[20] Q=counter[20]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[2] Q=counter[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[1] Q=counter[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[0] Q=counter[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[19] Q=counter[19]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[18] Q=counter[18]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[17] Q=counter[17]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[16] Q=counter[16]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[15] Q=counter[15]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[14] Q=counter[14]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.gate SB_DFF C=CLK_IN D=RLED1_SB_LUT4_I2_O[13] Q=counter[13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "blink.v:11.2-12.26|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
.names $false RLED1_SB_LUT4_I2_I3[0]
1 1
.names counter[0] RLED1_SB_LUT4_I2_I3[1]
1 1
.names $undef GLED5
1 1
.names $undef RLED2
1 1
.names $undef RLED3
1 1
.names $undef RLED4
1 1
.names RLED1 counter[23]
1 1
.end

+ 4390
- 0
Lattice_Icestorm/code/test1/txtbin/blink.txt
File diff suppressed because it is too large
View File


Loading…
Cancel
Save