You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
 

29 lines
844 B

00000000 T clkval
U delay
U delayMicroseconds
00000000 T delaytime
U digitalRead
00000000 T hzbinval
00000000 T hzclkval
00000000 T lc
00000000 T loop
00000000 T mainsfreq
00000000 T milliscompare
U pinMode
00000000 T readyet
U Serial
00000000 T setup
00000000 T temp
00000000 T x
00000000 T printNumber(int)
00000000 T checkCLKandBIN()
00000000 T ConvertArraytoBin()
U LedControl::clearDisplay(int)
U LedControl::setIntensity(int, int)
U LedControl::setDigit(int, int, unsigned char, bool)
U LedControl::shutdown(int, bool)
U LedControl::LedControl(int, int, int, int)
00000000 W HardwareSerial::begin(unsigned long)
U HardwareSerial::begin(unsigned long, unsigned char)
U Print::print(char const*)
U Print::println(int, int)