Your Name 3 years ago
parent
commit
a609d7ebca
190 changed files with 21273 additions and 0 deletions
  1. +2
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/_ngo/netlist.lst
  2. +9
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/ngdbuild.xmsgs
  3. +15
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/pn_parser.xmsgs
  4. +12
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/xst.xmsgs
  5. +16
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/constraints.ucf
  6. +36
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.bld
  7. +383
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.cmd_log
  8. +37
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.gyd
  9. +1667
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.jed
  10. +1
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.lso
  11. +913
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.mfd
  12. +3
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.nga
  13. +3
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.ngc
  14. +3
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.ngd
  15. +3
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.ngr
  16. +73
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.pad
  17. +18
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.pnx
  18. +1
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.prj
  19. +812
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.rpt
  20. +0
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.stx
  21. +259
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.syr
  22. +151
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.vhd
  23. +27
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.vhi
  24. +3484
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.vm6
  25. +3
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.xml
  26. +29
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta.xst
  27. +219
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_build.xml
  28. +229
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_envsettings.html
  29. +128
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/applet.js
  30. +14
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/appletref.htm
  31. +820
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ascii.htm
  32. +4
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ascii.tmp
  33. +71
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/asciidoc.htm
  34. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/backtop.jpg
  35. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/beginstraight.gif
  36. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/blank.gif
  37. +1
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/blank.htm
  38. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/briefview.jpg
  39. +9
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/check.htm
  40. +9
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/checkNS4.htm
  41. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/contact.gif
  42. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/coolrunnerII_logo.jpg
  43. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/coolrunner_logo.jpg
  44. +418
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/defeqns.htm
  45. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/education.gif
  46. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/endmkt.gif
  47. +949
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/eqns.htm
  48. +929
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/eqns.js
  49. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equations.gif
  50. +13
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equations.htm
  51. +53
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equationsdoc.htm
  52. +41
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors.js
  53. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors1.gif
  54. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors2.gif
  55. +91
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errorsdoc.htm
  56. +13
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errs.htm
  57. +33
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtable.htm
  58. +1
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtable.js
  59. +95
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtabledoc.htm
  60. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fb.gif
  61. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fb1.gif
  62. +49
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs.htm
  63. +9
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs.js
  64. +248
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB1.htm
  65. +253
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB2.htm
  66. +260
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB3.htm
  67. +239
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB4.htm
  68. +310
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FBdoc.htm
  69. +103
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbsdoc.htm
  70. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbview.jpg
  71. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/functionblock.gif
  72. +17
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/genmsg.htm
  73. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/header.gif
  74. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/home.gif
  75. +14
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/index.htm
  76. +14
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleft.htm
  77. +1
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleft.js
  78. +81
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleftdoc.htm
  79. +63
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/leftnav.htm
  80. +180
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/leftnav.js
  81. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/legend.gif
  82. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/legend.jpg
  83. +2
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXC95.htm
  84. +16
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXbr.htm
  85. +3
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXpla3.htm
  86. +16
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleft.htm
  87. +1
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleft.js
  88. +100
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleftdoc.htm
  89. +106
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logiclegend.htm
  90. +106
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logiclegendV.htm
  91. BIN
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/macrocell.gif
  92. +40
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_00.htm
  93. +40
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_01.htm
  94. +40
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_02.htm
  95. +147
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinputdoc.htm
  96. +23
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic.js
  97. +575
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_00.htm
  98. +575
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_01.htm
  99. +626
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_02.htm
  100. +167
    -0
      60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogicdoc.htm

+ 2
- 0
60hz_Divider/code/xilinx/cpld_countertest10/_ngo/netlist.lst View File

@ -0,0 +1,2 @@
/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.ngc 1596516022
OK

+ 9
- 0
60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/ngdbuild.xmsgs View File

@ -0,0 +1,9 @@
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
</messages>

+ 15
- 0
60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/pn_parser.xmsgs View File

@ -0,0 +1,15 @@
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated -->
<!-- by the Xilinx ISE software. Any direct editing or -->
<!-- changes made to this file may result in unpredictable -->
<!-- behavior or data corruption. It is strongly advised that -->
<!-- users do not edit the contents of this file. -->
<!-- -->
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<messages>
<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file &quot;/home/dev/Desktop/code/xilinx/file/cpld_countertest10/counta.vhd&quot; into library work</arg>
</msg>
</messages>

+ 12
- 0
60hz_Divider/code/xilinx/cpld_countertest10/_xmsgs/xst.xmsgs View File

@ -0,0 +1,12 @@
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="warning" file="Xst" num="1780" delta="old" >Signal &lt;<arg fmt="%s" index="1">ORvalforstore</arg>&gt; is never used or assigned. This unconnected signal will be trimmed during the optimization process.
</msg>
</messages>

+ 16
- 0
60hz_Divider/code/xilinx/cpld_countertest10/constraints.ucf View File

@ -0,0 +1,16 @@
NET "XSTALIN" LOC="P20" ; #should be using bufg=clk
NET "HZIN" LOC="P21" ;
NET LED(0) LOC="P41" ;
NET LED(1) LOC="P42" ;
NET LED(2) LOC="P43" ;
NET LED(3) LOC="P44" ;
NET LED(4) LOC="P1" ;
NET LED(5) LOC="P2" ;
NET LED(6) LOC="P3" ;
NET LED(7) LOC="P5" ;
NET "TX" LOC="P6" ;
#NET SWITCH LOC="P18";
#for dangerous prototypes board,
# led is 38 / 39
# button is 18

+ 36
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.bld View File

@ -0,0 +1,36 @@
Release 14.7 ngdbuild P.20131013 (lin)
Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
Command Line: /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin/unwrapped/ngdbuild -intstyle
ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
Reading NGO file
"/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.ngc" ...
Gathering constraint information from source properties...
Done.
Annotating constraints to design from ucf file "constraints.ucf" ...
Resolving constraint associations...
Checking Constraint Associations...
Done...
Checking expanded design ...
Partition Implementation Status
-------------------------------
No Partitions were found in this design.
-------------------------------
NGDBUILD Design Results Summary:
Number of errors: 0
Number of warnings: 0
Total memory usage is 102216 kilobytes
Writing NGD file "counta.ngd" ...
Total REAL time to NGDBUILD completion: 7 sec
Total CPU time to NGDBUILD completion: 7 sec
Writing NGDBUILD log file "counta.bld"...

+ 383
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.cmd_log View File

@ -0,0 +1,383 @@
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr"
ngdbuild -intstyle ise -dd _ngo -i -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr"
ngdbuild -intstyle ise -dd _ngo -i -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/xl9500CPLD_testCounter/counta.syr"
ngdbuild -intstyle ise -dd _ngo -i -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest2/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest4/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
vhdtdtfi -prj cpld_countertest5 -o /home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.vhi -module counta -template /opt/Xilinx/14.7/ISE_DS/ISE//data/vhdlinst.tft -deleteonerror -lib work counta.vhd
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest5/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest7/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta
xst -intstyle ise -ifn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.xst" -ofn "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.syr"
ngdbuild -intstyle ise -dd _ngo -uc constraints.ucf -p xc9572xl-VQ44-5 counta.ngc counta.ngd
cpldfit -intstyle ise -p xc9572xl-5-VQ44 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper counta.ngd
XSLTProcess counta_build.xml
tsim -intstyle ise counta counta.nga
hprep6 -s IEEE1149 -n counta -i counta

+ 37
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.gyd View File

@ -0,0 +1,37 @@
Pin Freeze File: version P.20131013
9572XL44VQ XC9572XL-5-VQ44
HZIN S:PIN21
XSTALIN S:PIN20
TX S:PIN6
LED<0> S:PIN41
LED<1> S:PIN42
LED<2> S:PIN43
LED<3> S:PIN44
LED<4> S:PIN1
LED<5> S:PIN2
LED<6> S:PIN3
LED<7> S:PIN5
;The remaining section of the .gyd file is for documentation purposes only.
;It shows where your internal equations were placed in the last successful fit.
PARTITION FB1_5 EXP6_ storecounta<7> EXP7_ storecounta<8>
storecounta<9> EXP8_ storecounta<10> EXP9_
EXP10_ storecounta<11> storecounta<12> EXP11_
uartnow<0>
PARTITION FB2_1 EXP12_
PARTITION FB2_8 clkcounta<9> clkcounta<8> clkcounta<7> clkcounta<6>
clkcounta<5> clkcounta<4> clkcounta<3> clkcounta<12>
clkcounta<11> clkcounta<10> storecounta<13>
PARTITION FB3_1 alreadystoredcnt<0> waitnow<0> uartskip<0> clkcounta<0>
storecounta<0> uartctr<4> uartctr<3> uartctr<2>
uartctr<1> uartctr<0> clkcounta<2> clkcounta<1>
storecounta<2> storecounta<1> resetclk<0> storecounta<5>
storecounta<4> storecounta<3>
PARTITION FB4_1 storecounta<14> EXP13_
PARTITION FB4_12 EXP14_ storecounta<18> storecounta<17> storecounta<16>
EXP15_ storecounta<6> storecounta<15>

+ 1667
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.jed
File diff suppressed because it is too large
View File


+ 1
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.lso View File

@ -0,0 +1 @@
work

+ 913
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.mfd View File

@ -0,0 +1,913 @@
MDF Database: version 1.0
MDF_INFO | counta | XC9572XL-5-VQ44
MACROCELL | 2 | 1 | waitnow<0>
ATTRIBUTES | 4588322 | 0
OUTPUTMC | 27 | 2 | 1 | 0 | 16 | 0 | 9 | 0 | 12 | 0 | 11 | 2 | 3 | 0 | 6 | 3 | 15 | 0 | 15 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 11 | 2 | 12 | 2 | 11 | 2 | 5 | 1 | 0 | 2 | 17 | 3 | 1 | 3 | 13 | 3 | 12 | 0 | 8 | 2 | 0 | 2 | 14 | 3 | 0
INPUTS | 12 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | HZIN | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | XSTALIN
INPUTMC | 10 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 2 | 0
EQ | 11 |
LED<7>.T = LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
# !LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & uartctr<0> & uartctr<1> &
uartctr<2> & uartctr<3> & uartctr<4>
# !LED<7> & LED<6> & !alreadystoredcnt<0> &
!resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> &
uartctr<3> & uartctr<4> & !HZIN;
LED<7>.CLK = XSTALIN;
waitnow<0>.EXP = LED<7> & !LED<6> & !resetclk<0> & uartskip<0> &
!HZIN
MACROCELL | 0 | 16 | uartnow<0>
ATTRIBUTES | 4588322 | 0
OUTPUTMC | 41 | 2 | 1 | 0 | 16 | 0 | 10 | 0 | 13 | 0 | 14 | 0 | 5 | 0 | 7 | 0 | 8 | 1 | 0 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 12 | 2 | 13 | 2 | 12 | 2 | 5 | 1 | 17 | 3 | 0 | 3 | 17 | 3 | 14 | 3 | 13 | 2 | 17 | 2 | 16 | 2 | 15 | 3 | 16 | 2 | 4 | 0 | 4 | 0 | 6 | 0 | 9 | 0 | 11 | 0 | 12 | 0 | 15 | 2 | 0 | 2 | 3 | 2 | 11 | 2 | 14 | 3 | 1 | 3 | 11 | 3 | 15
INPUTS | 12 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | XSTALIN
INPUTMC | 10 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5
INPUTP | 2 | 65 | 63
EQ | 12 |
LED<6>.T = !LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & !uartskip<0>
# LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> &
uartctr<3> & uartctr<4>
# LED<6> & alreadystoredcnt<0> & !resetclk<0> &
uartskip<0> & uartctr<0> & uartctr<1> & uartctr<2> &
uartctr<3> & uartctr<4>
# LED<6> & !alreadystoredcnt<0> & !resetclk<0> &
uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> &
uartctr<4> & !HZIN;
LED<6>.CLK = XSTALIN;
MACROCELL | 0 | 10 | storecounta<10>
ATTRIBUTES | 8782626 | 0
OUTPUTMC | 3 | 0 | 10 | 0 | 8 | 0 | 11
INPUTS | 8 | LED<6> | LED<4> | alreadystoredcnt<0> | HZIN | clkcounta<7> | LED<3> | EXP9_.EXP | XSTALIN
INPUTMC | 6 | 0 | 16 | 0 | 13 | 2 | 0 | 1 | 9 | 0 | 10 | 0 | 11
INPUTP | 2 | 65 | 63
IMPORTS | 1 | 0 | 11
EQ | 9 |
LED<3>.D = LED<6> & LED<4> & alreadystoredcnt<0>
# LED<6> & LED<4> & !HZIN
# !LED<6> & LED<3> & alreadystoredcnt<0>
# !alreadystoredcnt<0> & HZIN & clkcounta<7>
;Imported pterms FB1_12
# !LED<6> & LED<3> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
LED<3>.CLK = XSTALIN;
MACROCELL | 0 | 13 | storecounta<11>
ATTRIBUTES | 8782626 | 0
OUTPUTMC | 3 | 0 | 10 | 0 | 13 | 0 | 12
INPUTS | 8 | LED<6> | LED<5> | alreadystoredcnt<0> | HZIN | clkcounta<8> | LED<4> | EXP10_.EXP | XSTALIN
INPUTMC | 6 | 0 | 16 | 0 | 14 | 2 | 0 | 1 | 8 | 0 | 13 | 0 | 12
INPUTP | 2 | 65 | 63
IMPORTS | 1 | 0 | 12
EQ | 9 |
LED<4>.D = LED<6> & LED<5> & alreadystoredcnt<0>
# LED<6> & LED<5> & !HZIN
# !LED<6> & LED<4> & alreadystoredcnt<0>
# !alreadystoredcnt<0> & HZIN & clkcounta<8>
;Imported pterms FB1_13
# !LED<6> & LED<4> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
LED<4>.CLK = XSTALIN;
MACROCELL | 0 | 14 | storecounta<12>
ATTRIBUTES | 8782626 | 0
OUTPUTMC | 3 | 0 | 13 | 0 | 14 | 0 | 15
INPUTS | 8 | LED<6> | alreadystoredcnt<0> | storecounta<13> | HZIN | clkcounta<9> | LED<5> | EXP11_.EXP | XSTALIN
INPUTMC | 6 | 0 | 16 | 2 | 0 | 1 | 17 | 1 | 7 | 0 | 14 | 0 | 15
INPUTP | 2 | 65 | 63
IMPORTS | 1 | 0 | 15
EQ | 9 |
LED<5>.D = LED<6> & alreadystoredcnt<0> & storecounta<13>
# LED<6> & storecounta<13> & !HZIN
# !LED<6> & LED<5> & alreadystoredcnt<0>
# !alreadystoredcnt<0> & HZIN & clkcounta<9>
;Imported pterms FB1_16
# !LED<6> & LED<5> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
LED<5>.CLK = XSTALIN;
MACROCELL | 0 | 5 | storecounta<7>
ATTRIBUTES | 8782626 | 0
OUTPUTMC | 3 | 0 | 5 | 3 | 16 | 0 | 4
INPUTS | 9 | LED<6> | LED<1> | alreadystoredcnt<0> | HZIN | clkcounta<4> | LED<0> | EXP6_.EXP | EXP7_.EXP | XSTALIN
INPUTMC | 7 | 0 | 16 | 0 | 7 | 2 | 0 | 1 | 12 | 0 | 5 | 0 | 4 | 0 | 6
INPUTP | 2 | 65 | 63
IMPORTS | 2 | 0 | 4 | 0 | 6
EQ | 10 |
LED<0>.D = LED<6> & LED<1> & alreadystoredcnt<0>
# LED<6> & LED<1> & !HZIN
# !LED<6> & LED<0> & alreadystoredcnt<0>
# !alreadystoredcnt<0> & HZIN & clkcounta<4>
;Imported pterms FB1_5
# !LED<6> & LED<0> & !HZIN
;Imported pterms FB1_7
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
LED<0>.CLK = XSTALIN;
MACROCELL | 0 | 7 | storecounta<8>
ATTRIBUTES | 8782626 | 0
OUTPUTMC | 3 | 0 | 5 | 0 | 7 | 0 | 8
INPUTS | 8 | LED<6> | LED<2> | alreadystoredcnt<0> | HZIN | clkcounta<5> | LED<1> | storecounta<9>.EXP | XSTALIN
INPUTMC | 6 | 0 | 16 | 0 | 8 | 2 | 0 | 1 | 11 | 0 | 7 | 0 | 8
INPUTP | 2 | 65 | 63
IMPORTS | 1 | 0 | 8
EQ | 9 |
LED<1>.D = LED<6> & LED<2> & alreadystoredcnt<0>
# LED<6> & LED<2> & !HZIN
# !LED<6> & LED<1> & alreadystoredcnt<0>
# !alreadystoredcnt<0> & HZIN & clkcounta<5>
;Imported pterms FB1_9
# !LED<6> & LED<1> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
LED<1>.CLK = XSTALIN;
MACROCELL | 0 | 8 | storecounta<9>
ATTRIBUTES | 8782626 | 0
OUTPUTMC | 2 | 0 | 7 | 0 | 9
INPUTS | 10 | LED<6> | LED<3> | alreadystoredcnt<0> | HZIN | LED<1> | LED<7> | resetclk<0> | uartskip<0> | EXP8_.EXP | XSTALIN
INPUTMC | 8 | 0 | 16 | 0 | 10 | 2 | 0 | 0 | 7 | 2 | 1 | 2 | 14 | 2 | 2 | 0 | 9
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 0 | 7
IMPORTS | 1 | 0 | 9
EQ | 12 |
LED<2>.D = LED<6> & LED<3> & alreadystoredcnt<0>
# LED<6> & LED<3> & !HZIN
;Imported pterms FB1_10
# !LED<6> & LED<2> & alreadystoredcnt<0>
# !LED<6> & LED<2> & !HZIN
# !alreadystoredcnt<0> & HZIN & clkcounta<6>
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
LED<2>.CLK = XSTALIN;
storecounta<9>.EXP = !LED<6> & LED<1> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 2 | 0 | alreadystoredcnt<0>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 51 | 2 | 1 | 0 | 16 | 0 | 10 | 0 | 13 | 0 | 14 | 0 | 5 | 0 | 7 | 0 | 8 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 11 | 2 | 13 | 2 | 12 | 2 | 5 | 1 | 17 | 3 | 0 | 3 | 17 | 3 | 14 | 3 | 13 | 2 | 17 | 2 | 16 | 2 | 15 | 3 | 16 | 2 | 4 | 2 | 3 | 1 | 16 | 1 | 15 | 1 | 0 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 | 0 | 6 | 0 | 9 | 0 | 11 | 0 | 12 | 0 | 15 | 2 | 11 | 3 | 1 | 3 | 12 | 3 | 15
INPUTS | 9 | alreadystoredcnt<0> | HZIN | LED<6> | storecounta<3> | LED<7> | resetclk<0> | XSTALIN | uartskip<0> | waitnow<0>.EXP
INPUTMC | 7 | 2 | 0 | 0 | 16 | 2 | 17 | 2 | 1 | 2 | 14 | 2 | 2 | 2 | 1
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 2 | 17
IMPORTS | 1 | 2 | 1
EQ | 9 |
!alreadystoredcnt<0>.D = !alreadystoredcnt<0> & !HZIN
;Imported pterms FB3_2
# LED<7> & !LED<6> & !resetclk<0> & uartskip<0> &
!HZIN;
alreadystoredcnt<0>.CLK = XSTALIN;
alreadystoredcnt<0>.EXP = !LED<6> & alreadystoredcnt<0> & storecounta<3>
# !LED<6> & storecounta<3> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 2 | 14 | resetclk<0>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 42 | 2 | 1 | 0 | 16 | 0 | 9 | 0 | 12 | 0 | 11 | 1 | 14 | 0 | 6 | 1 | 7 | 0 | 15 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 12 | 2 | 13 | 2 | 12 | 2 | 5 | 1 | 0 | 2 | 17 | 3 | 11 | 3 | 14 | 3 | 13 | 0 | 8 | 2 | 0 | 2 | 14 | 3 | 15 | 2 | 4 | 2 | 3 | 1 | 16 | 1 | 15 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 3 | 0 | 3 | 1 | 2 | 15
INPUTS | 8 | alreadystoredcnt<0> | HZIN | XSTALIN | LED<6> | storecounta<5> | LED<7> | resetclk<0> | uartskip<0>
INPUTMC | 6 | 2 | 0 | 0 | 16 | 2 | 15 | 2 | 1 | 2 | 14 | 2 | 2
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 2 | 15
EQ | 6 |
resetclk<0>.D = !alreadystoredcnt<0> & HZIN;
resetclk<0>.CLK = XSTALIN;
resetclk<0>.EXP = !LED<6> & alreadystoredcnt<0> & storecounta<5>
# !LED<6> & storecounta<5> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 2 | 2 | uartskip<0>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 27 | 2 | 1 | 0 | 16 | 0 | 9 | 0 | 12 | 0 | 11 | 2 | 3 | 0 | 6 | 3 | 15 | 0 | 15 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 11 | 2 | 12 | 2 | 11 | 2 | 5 | 1 | 0 | 2 | 17 | 3 | 1 | 3 | 13 | 3 | 12 | 0 | 8 | 2 | 0 | 2 | 14 | 3 | 0
INPUTS | 7 | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | LED<6> | HZIN | XSTALIN
INPUTMC | 5 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2 | 0 | 16
INPUTP | 2 | 65 | 63
EQ | 5 |
uartskip<0>.T = !LED<7> & alreadystoredcnt<0> & !resetclk<0> &
!uartskip<0>
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
uartskip<0>.CLK = XSTALIN;
MACROCELL | 2 | 9 | uartctr<0>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 8 | 2 | 1 | 0 | 16 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 10
INPUTS | 15 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | XSTALIN | clkcounta<0> | clkcounta<1> | uartctr<1>.EXP
INPUTMC | 13 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 3 | 2 | 11 | 2 | 8
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 2 | 10
IMPORTS | 1 | 2 | 8
EQ | 13 |
uartctr<0>.T = !LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0>
# LED<6> & !alreadystoredcnt<0> & !resetclk<0> &
uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> &
uartctr<4> & !HZIN
;Imported pterms FB3_9
# LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> &
uartctr<3> & uartctr<4>;
uartctr<0>.CLK = XSTALIN;
uartctr<0>.EXP = !resetclk<0> & clkcounta<0> & clkcounta<1>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<1>
MACROCELL | 2 | 8 | uartctr<1>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 7 | 2 | 1 | 0 | 16 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5
INPUTS | 12 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | XSTALIN
INPUTMC | 10 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 2 | 9
EQ | 12 |
uartctr<1>.T = !LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & uartctr<0>
# LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> &
uartctr<3> & uartctr<4>
# LED<6> & !alreadystoredcnt<0> & !resetclk<0> &
uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> &
uartctr<4> & !HZIN;
uartctr<1>.CLK = XSTALIN;
uartctr<1>.EXP = LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> &
uartctr<3> & uartctr<4>
MACROCELL | 2 | 7 | uartctr<2>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 7 | 2 | 1 | 0 | 16 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5
INPUTS | 12 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | XSTALIN
INPUTMC | 10 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5
INPUTP | 2 | 65 | 63
EQ | 9 |
uartctr<2>.T = !LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & uartctr<0> & uartctr<1>
# LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> &
uartctr<3> & uartctr<4>
# LED<6> & !alreadystoredcnt<0> & !resetclk<0> &
uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> &
uartctr<4> & !HZIN;
uartctr<2>.CLK = XSTALIN;
MACROCELL | 2 | 6 | uartctr<3>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 7 | 2 | 1 | 0 | 16 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5
INPUTS | 12 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | XSTALIN
INPUTMC | 10 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5
INPUTP | 2 | 65 | 63
EQ | 10 |
uartctr<3>.T = !LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & uartctr<0> & uartctr<1> &
uartctr<2>
# LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> &
uartctr<3> & uartctr<4>
# LED<6> & !alreadystoredcnt<0> & !resetclk<0> &
uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> &
uartctr<4> & !HZIN;
uartctr<3>.CLK = XSTALIN;
MACROCELL | 3 | 12 | storecounta<18>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 3 | 3 | 12 | 3 | 13 | 3 | 11
INPUTS | 10 | LED<6> | resetclk<0> | storecounta<18> | alreadystoredcnt<0> | storecounta<17> | HZIN | LED<7> | XSTALIN | uartskip<0> | EXP14_.EXP
INPUTMC | 8 | 0 | 16 | 2 | 14 | 3 | 12 | 2 | 0 | 3 | 13 | 2 | 1 | 2 | 2 | 3 | 11
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 3 | 13
IMPORTS | 1 | 3 | 11
EQ | 12 |
storecounta<18>.D = LED<6> & !resetclk<0>
# !resetclk<0> & storecounta<18>
;Imported pterms FB4_12
# LED<6> & !alreadystoredcnt<0> & HZIN
# !alreadystoredcnt<0> & storecounta<18> & HZIN
# LED<7> & alreadystoredcnt<0> & !resetclk<0> &
uartskip<0> & !HZIN;
storecounta<18>.CLK = XSTALIN;
storecounta<18>.EXP = !LED<6> & !alreadystoredcnt<0> & storecounta<17> &
HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 2 | 13 | storecounta<1>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 2 | 2 | 13 | 2 | 4
INPUTS | 8 | LED<6> | resetclk<0> | storecounta<2> | storecounta<1> | alreadystoredcnt<0> | HZIN | storecounta<2>.EXP | XSTALIN
INPUTMC | 6 | 0 | 16 | 2 | 14 | 2 | 12 | 2 | 13 | 2 | 0 | 2 | 12
INPUTP | 2 | 65 | 63
IMPORTS | 1 | 2 | 12
EQ | 10 |
storecounta<1>.D = LED<6> & !resetclk<0> & storecounta<2>
# !LED<6> & !resetclk<0> & storecounta<1>
# LED<6> & !alreadystoredcnt<0> & storecounta<2> &
HZIN
# !LED<6> & !alreadystoredcnt<0> & storecounta<1> &
HZIN
;Imported pterms FB3_13
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
storecounta<1>.CLK = XSTALIN;
MACROCELL | 2 | 12 | storecounta<2>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 2 | 2 | 13 | 2 | 11
INPUTS | 9 | alreadystoredcnt<0> | resetclk<0> | HZIN | LED<6> | storecounta<3> | LED<7> | uartskip<0> | clkcounta<1>.EXP | XSTALIN
INPUTMC | 7 | 2 | 0 | 2 | 14 | 0 | 16 | 2 | 17 | 2 | 1 | 2 | 2 | 2 | 11
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 2 | 13
IMPORTS | 1 | 2 | 11
EQ | 10 |
storecounta<2>.D = LED<6> & storecounta<3>
# alreadystoredcnt<0> & resetclk<0>
# resetclk<0> & !HZIN
;Imported pterms FB3_12
# !LED<6> & storecounta<2>
# LED<7> & !LED<6> & alreadystoredcnt<0> &
uartskip<0> & !HZIN;
storecounta<2>.CLK = XSTALIN;
storecounta<2>.EXP = LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 2 | 5 | uartctr<4>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 7 | 2 | 1 | 0 | 16 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5
INPUTS | 12 | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartctr<0> | uartctr<1> | uartctr<2> | uartctr<3> | uartctr<4> | HZIN | LED<7> | uartskip<0> | XSTALIN
INPUTMC | 10 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 1 | 2 | 2
INPUTP | 2 | 65 | 63
EQ | 10 |
uartctr<4>.T = LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartctr<0> & uartctr<1> & uartctr<2> &
uartctr<3> & uartctr<4>
# !LED<7> & LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & uartctr<0> & uartctr<1> &
uartctr<2> & uartctr<3>
# LED<6> & !alreadystoredcnt<0> & !resetclk<0> &
uartctr<0> & uartctr<1> & uartctr<2> & uartctr<3> &
uartctr<4> & !HZIN;
uartctr<4>.CLK = XSTALIN;
MACROCELL | 1 | 17 | storecounta<13>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 3 | 0 | 14 | 1 | 17 | 1 | 0
INPUTS | 8 | LED<6> | alreadystoredcnt<0> | storecounta<14> | HZIN | clkcounta<10> | storecounta<13> | EXP12_.EXP | XSTALIN
INPUTMC | 6 | 0 | 16 | 2 | 0 | 3 | 0 | 1 | 16 | 1 | 17 | 1 | 0
INPUTP | 2 | 65 | 63
IMPORTS | 1 | 1 | 0
EQ | 9 |
storecounta<13>.D = LED<6> & alreadystoredcnt<0> & storecounta<14>
# LED<6> & storecounta<14> & !HZIN
# !LED<6> & alreadystoredcnt<0> & storecounta<13>
# !alreadystoredcnt<0> & HZIN & clkcounta<10>
;Imported pterms FB2_1
# !LED<6> & storecounta<13> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
storecounta<13>.CLK = XSTALIN;
MACROCELL | 3 | 0 | storecounta<14>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 3 | 1 | 17 | 3 | 1 | 3 | 17
INPUTS | 9 | LED<6> | alreadystoredcnt<0> | storecounta<15> | HZIN | LED<7> | resetclk<0> | uartskip<0> | EXP13_.EXP | XSTALIN
INPUTMC | 7 | 0 | 16 | 2 | 0 | 3 | 17 | 2 | 1 | 2 | 14 | 2 | 2 | 3 | 1
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 3 | 17
IMPORTS | 1 | 3 | 1
EQ | 12 |
storecounta<14>.D = LED<6> & alreadystoredcnt<0> & storecounta<15>
# LED<6> & storecounta<15> & !HZIN
;Imported pterms FB4_2
# !LED<6> & alreadystoredcnt<0> & storecounta<14>
# !LED<6> & storecounta<14> & !HZIN
# !alreadystoredcnt<0> & HZIN & clkcounta<11>
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
storecounta<14>.CLK = XSTALIN;
storecounta<14>.EXP = !LED<6> & storecounta<15> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 3 | 17 | storecounta<15>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 2 | 3 | 0 | 3 | 17
INPUTS | 8 | LED<6> | alreadystoredcnt<0> | storecounta<16> | HZIN | clkcounta<12> | storecounta<15> | storecounta<14>.EXP | XSTALIN
INPUTMC | 6 | 0 | 16 | 2 | 0 | 3 | 14 | 1 | 14 | 3 | 17 | 3 | 0
INPUTP | 2 | 65 | 63
IMPORTS | 1 | 3 | 0
EQ | 9 |
storecounta<15>.D = LED<6> & alreadystoredcnt<0> & storecounta<16>
# LED<6> & storecounta<16> & !HZIN
# !LED<6> & alreadystoredcnt<0> & storecounta<15>
# !alreadystoredcnt<0> & HZIN & clkcounta<12>
;Imported pterms FB4_1
# !LED<6> & storecounta<15> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
storecounta<15>.CLK = XSTALIN;
MACROCELL | 3 | 14 | storecounta<16>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 2 | 3 | 17 | 3 | 14
INPUTS | 8 | alreadystoredcnt<0> | resetclk<0> | HZIN | LED<6> | storecounta<17> | storecounta<16> | storecounta<17>.EXP | XSTALIN
INPUTMC | 6 | 2 | 0 | 2 | 14 | 0 | 16 | 3 | 13 | 3 | 14 | 3 | 13
INPUTP | 2 | 65 | 63
IMPORTS | 1 | 3 | 13
EQ | 8 |
storecounta<16>.D = LED<6> & storecounta<17>
# !LED<6> & storecounta<16>
# alreadystoredcnt<0> & resetclk<0>
# resetclk<0> & !HZIN
;Imported pterms FB4_14
# LED<7> & !LED<6> & alreadystoredcnt<0> &
uartskip<0> & !HZIN;
storecounta<16>.CLK = XSTALIN;
MACROCELL | 3 | 13 | storecounta<17>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 3 | 3 | 14 | 3 | 13 | 3 | 12
INPUTS | 10 | LED<6> | resetclk<0> | storecounta<18> | storecounta<17> | alreadystoredcnt<0> | HZIN | LED<7> | uartskip<0> | XSTALIN | storecounta<18>.EXP
INPUTMC | 8 | 0 | 16 | 2 | 14 | 3 | 12 | 3 | 13 | 2 | 0 | 2 | 1 | 2 | 2 | 3 | 12
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 3 | 14
IMPORTS | 1 | 3 | 12
EQ | 12 |
storecounta<17>.D = LED<6> & !resetclk<0> & storecounta<18>
# !LED<6> & !resetclk<0> & storecounta<17>
# LED<6> & !alreadystoredcnt<0> & storecounta<18> &
HZIN
;Imported pterms FB4_13
# !LED<6> & !alreadystoredcnt<0> & storecounta<17> &
HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
storecounta<17>.CLK = XSTALIN;
storecounta<17>.EXP = LED<7> & !LED<6> & alreadystoredcnt<0> &
uartskip<0> & !HZIN
MACROCELL | 2 | 17 | storecounta<3>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 3 | 2 | 12 | 2 | 0 | 2 | 16
INPUTS | 10 | LED<6> | alreadystoredcnt<0> | storecounta<4> | HZIN | clkcounta<0> | LED<7> | resetclk<0> | uartskip<0> | alreadystoredcnt<0>.EXP | XSTALIN
INPUTMC | 8 | 0 | 16 | 2 | 0 | 2 | 16 | 2 | 3 | 2 | 1 | 2 | 14 | 2 | 2 | 2 | 0
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 2 | 16
IMPORTS | 1 | 2 | 0
EQ | 11 |
storecounta<3>.D = LED<6> & alreadystoredcnt<0> & storecounta<4>
# LED<6> & storecounta<4> & !HZIN
# !alreadystoredcnt<0> & HZIN & clkcounta<0>
;Imported pterms FB3_1
# !LED<6> & alreadystoredcnt<0> & storecounta<3>
# !LED<6> & storecounta<3> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
storecounta<3>.CLK = XSTALIN;
storecounta<3>.EXP = LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 2 | 16 | storecounta<4>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 3 | 2 | 17 | 2 | 16 | 2 | 15
INPUTS | 9 | LED<6> | alreadystoredcnt<0> | storecounta<5> | HZIN | clkcounta<1> | storecounta<4> | storecounta<5>.EXP | storecounta<3>.EXP | XSTALIN
INPUTMC | 7 | 0 | 16 | 2 | 0 | 2 | 15 | 2 | 11 | 2 | 16 | 2 | 15 | 2 | 17
INPUTP | 2 | 65 | 63
IMPORTS | 2 | 2 | 15 | 2 | 17
EQ | 10 |
storecounta<4>.D = LED<6> & alreadystoredcnt<0> & storecounta<5>
# LED<6> & storecounta<5> & !HZIN
# !LED<6> & alreadystoredcnt<0> & storecounta<4>
# !alreadystoredcnt<0> & HZIN & clkcounta<1>
;Imported pterms FB3_16
# !LED<6> & storecounta<4> & !HZIN
;Imported pterms FB3_18
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
storecounta<4>.CLK = XSTALIN;
MACROCELL | 2 | 15 | storecounta<5>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 2 | 2 | 16 | 2 | 14
INPUTS | 8 | LED<6> | alreadystoredcnt<0> | storecounta<6> | HZIN | clkcounta<2> | storecounta<4> | resetclk<0>.EXP | XSTALIN
INPUTMC | 6 | 0 | 16 | 2 | 0 | 3 | 16 | 2 | 10 | 2 | 16 | 2 | 14
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 2 | 16
IMPORTS | 1 | 2 | 14
EQ | 10 |
storecounta<5>.D = LED<6> & alreadystoredcnt<0> & storecounta<6>
# LED<6> & storecounta<6> & !HZIN
# !alreadystoredcnt<0> & HZIN & clkcounta<2>
;Imported pterms FB3_15
# !LED<6> & alreadystoredcnt<0> & storecounta<5>
# !LED<6> & storecounta<5> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
storecounta<5>.CLK = XSTALIN;
storecounta<5>.EXP = !LED<6> & storecounta<4> & !HZIN
MACROCELL | 3 | 16 | storecounta<6>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 3 | 2 | 15 | 3 | 16 | 3 | 15
INPUTS | 8 | LED<6> | LED<0> | alreadystoredcnt<0> | HZIN | clkcounta<3> | storecounta<6> | EXP15_.EXP | XSTALIN
INPUTMC | 6 | 0 | 16 | 0 | 5 | 2 | 0 | 1 | 13 | 3 | 16 | 3 | 15
INPUTP | 2 | 65 | 63
IMPORTS | 1 | 3 | 15
EQ | 9 |
storecounta<6>.D = LED<6> & LED<0> & alreadystoredcnt<0>
# LED<6> & LED<0> & !HZIN
# !LED<6> & alreadystoredcnt<0> & storecounta<6>
# !alreadystoredcnt<0> & HZIN & clkcounta<3>
;Imported pterms FB4_16
# !LED<6> & storecounta<6> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
storecounta<6>.CLK = XSTALIN;
MACROCELL | 2 | 4 | storecounta<0>
ATTRIBUTES | 8782626 | 0
OUTPUTMC | 1 | 2 | 4
INPUTS | 8 | LED<6> | resetclk<0> | storecounta<1> | TX | alreadystoredcnt<0> | HZIN | clkcounta<0>.EXP | XSTALIN
INPUTMC | 6 | 0 | 16 | 2 | 14 | 2 | 13 | 2 | 4 | 2 | 0 | 2 | 3
INPUTP | 2 | 65 | 63
IMPORTS | 1 | 2 | 3
EQ | 9 |
TX.D = LED<6> & !resetclk<0> & storecounta<1>
# !LED<6> & !resetclk<0> & TX
# LED<6> & !alreadystoredcnt<0> & storecounta<1> &
HZIN
# !LED<6> & !alreadystoredcnt<0> & HZIN & TX
;Imported pterms FB3_4
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN;
TX.CLK = XSTALIN;
MACROCELL | 2 | 3 | clkcounta<0>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 16 | 2 | 17 | 2 | 3 | 1 | 16 | 1 | 15 | 2 | 11 | 2 | 9 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 | 2 | 10 | 2 | 4
INPUTS | 8 | resetclk<0> | clkcounta<0> | alreadystoredcnt<0> | HZIN | XSTALIN | LED<7> | LED<6> | uartskip<0>
INPUTMC | 6 | 2 | 14 | 2 | 3 | 2 | 0 | 2 | 1 | 0 | 16 | 2 | 2
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 2 | 4
EQ | 5 |
clkcounta<0>.D = !resetclk<0> & !clkcounta<0>
# !alreadystoredcnt<0> & HZIN & !clkcounta<0>;
clkcounta<0>.CLK = XSTALIN;
clkcounta<0>.EXP = LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 1 | 16 | clkcounta<10>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 4 | 1 | 17 | 1 | 16 | 1 | 15 | 1 | 14
INPUTS | 15 | alreadystoredcnt<0> | resetclk<0> | clkcounta<10> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | clkcounta<7> | clkcounta<8> | clkcounta<9> | XSTALIN
INPUTMC | 13 | 2 | 0 | 2 | 14 | 1 | 16 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7
INPUTP | 2 | 65 | 63
EQ | 11 |
clkcounta<10>.T = alreadystoredcnt<0> & resetclk<0> &
clkcounta<10>
# resetclk<0> & !HZIN & clkcounta<10>
# !resetclk<0> & clkcounta<0> & clkcounta<1> &
clkcounta<2> & clkcounta<3> & clkcounta<4> & clkcounta<5> &
clkcounta<6> & clkcounta<7> & clkcounta<8> & clkcounta<9>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> &
clkcounta<5> & clkcounta<6> & clkcounta<7> & clkcounta<8> &
clkcounta<9>;
clkcounta<10>.CLK = XSTALIN;
MACROCELL | 1 | 15 | clkcounta<11>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 3 | 1 | 14 | 1 | 15 | 3 | 1
INPUTS | 16 | alreadystoredcnt<0> | resetclk<0> | clkcounta<11> | HZIN | clkcounta<0> | clkcounta<10> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | clkcounta<7> | clkcounta<8> | clkcounta<9> | XSTALIN
INPUTMC | 14 | 2 | 0 | 2 | 14 | 1 | 15 | 2 | 3 | 1 | 16 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7
INPUTP | 2 | 65 | 63
EQ | 12 |
clkcounta<11>.T = alreadystoredcnt<0> & resetclk<0> &
clkcounta<11>
# resetclk<0> & !HZIN & clkcounta<11>
# !resetclk<0> & clkcounta<0> & clkcounta<10> &
clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> &
clkcounta<5> & clkcounta<6> & clkcounta<7> & clkcounta<8> &
clkcounta<9>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<10> & clkcounta<1> & clkcounta<2> & clkcounta<3> &
clkcounta<4> & clkcounta<5> & clkcounta<6> & clkcounta<7> &
clkcounta<8> & clkcounta<9>;
clkcounta<11>.CLK = XSTALIN;
MACROCELL | 2 | 11 | clkcounta<1>
ATTRIBUTES | 8520480 | 0
OUTPUTMC | 15 | 2 | 16 | 1 | 16 | 1 | 15 | 2 | 11 | 2 | 9 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 | 2 | 10 | 2 | 12
INPUTS | 11 | resetclk<0> | clkcounta<0> | clkcounta<1> | LED<6> | storecounta<2> | XSTALIN | LED<7> | alreadystoredcnt<0> | uartskip<0> | HZIN | clkcounta<2>.EXP
INPUTMC | 9 | 2 | 14 | 2 | 3 | 2 | 11 | 0 | 16 | 2 | 12 | 2 | 1 | 2 | 0 | 2 | 2 | 2 | 10
INPUTP | 2 | 63 | 65
EXPORTS | 1 | 2 | 12
IMPORTS | 1 | 2 | 10
EQ | 11 |
clkcounta<1>.D = !resetclk<0> & clkcounta<0> & !clkcounta<1>
# !resetclk<0> & !clkcounta<0> & clkcounta<1>
;Imported pterms FB3_11
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
!clkcounta<1>
# !alreadystoredcnt<0> & HZIN & !clkcounta<0> &
clkcounta<1>;
clkcounta<1>.CLK = XSTALIN;
clkcounta<1>.EXP = !LED<6> & storecounta<2>
# LED<7> & !LED<6> & alreadystoredcnt<0> &
uartskip<0> & !HZIN
MACROCELL | 2 | 10 | clkcounta<2>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 13 | 2 | 15 | 1 | 16 | 1 | 15 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 | 2 | 11
INPUTS | 8 | alreadystoredcnt<0> | resetclk<0> | clkcounta<2> | HZIN | clkcounta<0> | clkcounta<1> | XSTALIN | uartctr<0>.EXP
INPUTMC | 6 | 2 | 0 | 2 | 14 | 2 | 10 | 2 | 3 | 2 | 11 | 2 | 9
INPUTP | 2 | 65 | 63
EXPORTS | 1 | 2 | 11
IMPORTS | 1 | 2 | 9
EQ | 11 |
clkcounta<2>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<2>
# resetclk<0> & !HZIN & clkcounta<2>
;Imported pterms FB3_10
# !resetclk<0> & clkcounta<0> & clkcounta<1>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<1>;
clkcounta<2>.CLK = XSTALIN;
clkcounta<2>.EXP = !alreadystoredcnt<0> & HZIN & clkcounta<0> &
!clkcounta<1>
# !alreadystoredcnt<0> & HZIN & !clkcounta<0> &
clkcounta<1>
MACROCELL | 1 | 13 | clkcounta<3>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 11 | 3 | 16 | 1 | 16 | 1 | 15 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14
INPUTS | 8 | alreadystoredcnt<0> | resetclk<0> | clkcounta<3> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | XSTALIN
INPUTMC | 6 | 2 | 0 | 2 | 14 | 1 | 13 | 2 | 3 | 2 | 11 | 2 | 10
INPUTP | 2 | 65 | 63
EQ | 7 |
clkcounta<3>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<3>
# resetclk<0> & !HZIN & clkcounta<3>
# !resetclk<0> & clkcounta<0> & clkcounta<1> &
clkcounta<2>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<1> & clkcounta<2>;
clkcounta<3>.CLK = XSTALIN;
MACROCELL | 1 | 12 | clkcounta<4>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 10 | 0 | 5 | 1 | 16 | 1 | 15 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14
INPUTS | 9 | alreadystoredcnt<0> | resetclk<0> | clkcounta<4> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | XSTALIN
INPUTMC | 7 | 2 | 0 | 2 | 14 | 1 | 12 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13
INPUTP | 2 | 65 | 63
EQ | 7 |
clkcounta<4>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<4>
# resetclk<0> & !HZIN & clkcounta<4>
# !resetclk<0> & clkcounta<0> & clkcounta<1> &
clkcounta<2> & clkcounta<3>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<1> & clkcounta<2> & clkcounta<3>;
clkcounta<4>.CLK = XSTALIN;
MACROCELL | 1 | 11 | clkcounta<5>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 9 | 0 | 7 | 1 | 16 | 1 | 15 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14
INPUTS | 10 | alreadystoredcnt<0> | resetclk<0> | clkcounta<5> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | XSTALIN
INPUTMC | 8 | 2 | 0 | 2 | 14 | 1 | 11 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12
INPUTP | 2 | 65 | 63
EQ | 7 |
clkcounta<5>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<5>
# resetclk<0> & !HZIN & clkcounta<5>
# !resetclk<0> & clkcounta<0> & clkcounta<1> &
clkcounta<2> & clkcounta<3> & clkcounta<4>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4>;
clkcounta<5>.CLK = XSTALIN;
MACROCELL | 1 | 10 | clkcounta<6>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 8 | 1 | 14 | 1 | 16 | 1 | 15 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 0 | 9
INPUTS | 11 | alreadystoredcnt<0> | resetclk<0> | clkcounta<6> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | XSTALIN
INPUTMC | 9 | 2 | 0 | 2 | 14 | 1 | 10 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11
INPUTP | 2 | 65 | 63
EQ | 8 |
clkcounta<6>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<6>
# resetclk<0> & !HZIN & clkcounta<6>
# !resetclk<0> & clkcounta<0> & clkcounta<1> &
clkcounta<2> & clkcounta<3> & clkcounta<4> & clkcounta<5>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> &
clkcounta<5>;
clkcounta<6>.CLK = XSTALIN;
MACROCELL | 1 | 9 | clkcounta<7>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 7 | 0 | 10 | 1 | 16 | 1 | 15 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14
INPUTS | 12 | alreadystoredcnt<0> | resetclk<0> | clkcounta<7> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | XSTALIN
INPUTMC | 10 | 2 | 0 | 2 | 14 | 1 | 9 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10
INPUTP | 2 | 65 | 63
EQ | 9 |
clkcounta<7>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<7>
# resetclk<0> & !HZIN & clkcounta<7>
# !resetclk<0> & clkcounta<0> & clkcounta<1> &
clkcounta<2> & clkcounta<3> & clkcounta<4> & clkcounta<5> &
clkcounta<6>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> &
clkcounta<5> & clkcounta<6>;
clkcounta<7>.CLK = XSTALIN;
MACROCELL | 1 | 8 | clkcounta<8>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 6 | 0 | 13 | 1 | 16 | 1 | 15 | 1 | 8 | 1 | 7 | 1 | 14
INPUTS | 13 | alreadystoredcnt<0> | resetclk<0> | clkcounta<8> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | clkcounta<7> | XSTALIN
INPUTMC | 11 | 2 | 0 | 2 | 14 | 1 | 8 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9
INPUTP | 2 | 65 | 63
EQ | 9 |
clkcounta<8>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<8>
# resetclk<0> & !HZIN & clkcounta<8>
# !resetclk<0> & clkcounta<0> & clkcounta<1> &
clkcounta<2> & clkcounta<3> & clkcounta<4> & clkcounta<5> &
clkcounta<6> & clkcounta<7>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> &
clkcounta<5> & clkcounta<6> & clkcounta<7>;
clkcounta<8>.CLK = XSTALIN;
MACROCELL | 1 | 7 | clkcounta<9>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 5 | 0 | 14 | 1 | 16 | 1 | 15 | 1 | 7 | 1 | 14
INPUTS | 14 | alreadystoredcnt<0> | resetclk<0> | clkcounta<9> | HZIN | clkcounta<0> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | clkcounta<7> | clkcounta<8> | XSTALIN
INPUTMC | 12 | 2 | 0 | 2 | 14 | 1 | 7 | 2 | 3 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8
INPUTP | 2 | 65 | 63
EQ | 9 |
clkcounta<9>.T = alreadystoredcnt<0> & resetclk<0> & clkcounta<9>
# resetclk<0> & !HZIN & clkcounta<9>
# !resetclk<0> & clkcounta<0> & clkcounta<1> &
clkcounta<2> & clkcounta<3> & clkcounta<4> & clkcounta<5> &
clkcounta<6> & clkcounta<7> & clkcounta<8>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<1> & clkcounta<2> & clkcounta<3> & clkcounta<4> &
clkcounta<5> & clkcounta<6> & clkcounta<7> & clkcounta<8>;
clkcounta<9>.CLK = XSTALIN;
MACROCELL | 1 | 14 | clkcounta<12>
ATTRIBUTES | 4326176 | 0
OUTPUTMC | 2 | 3 | 17 | 1 | 14
INPUTS | 17 | alreadystoredcnt<0> | resetclk<0> | clkcounta<12> | HZIN | clkcounta<0> | clkcounta<10> | clkcounta<11> | clkcounta<1> | clkcounta<2> | clkcounta<3> | clkcounta<4> | clkcounta<5> | clkcounta<6> | clkcounta<7> | clkcounta<8> | clkcounta<9> | XSTALIN
INPUTMC | 15 | 2 | 0 | 2 | 14 | 1 | 14 | 2 | 3 | 1 | 16 | 1 | 15 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7
INPUTP | 2 | 65 | 63
EQ | 12 |
clkcounta<12>.T = alreadystoredcnt<0> & resetclk<0> &
clkcounta<12>
# resetclk<0> & !HZIN & clkcounta<12>
# !resetclk<0> & clkcounta<0> & clkcounta<10> &
clkcounta<11> & clkcounta<1> & clkcounta<2> & clkcounta<3> &
clkcounta<4> & clkcounta<5> & clkcounta<6> & clkcounta<7> &
clkcounta<8> & clkcounta<9>
# !alreadystoredcnt<0> & HZIN & clkcounta<0> &
clkcounta<10> & clkcounta<11> & clkcounta<1> & clkcounta<2> &
clkcounta<3> & clkcounta<4> & clkcounta<5> & clkcounta<6> &
clkcounta<7> & clkcounta<8> & clkcounta<9>;
clkcounta<12>.CLK = XSTALIN;
MACROCELL | 0 | 4 | EXP6_
ATTRIBUTES | 2048 | 0
OUTPUTMC | 1 | 0 | 5
INPUTS | 3 | LED<6> | LED<0> | HZIN
INPUTMC | 2 | 0 | 16 | 0 | 5
INPUTP | 1 | 65
EXPORTS | 1 | 0 | 5
EQ | 1 |
EXP6_.EXP = !LED<6> & LED<0> & !HZIN
MACROCELL | 0 | 6 | EXP7_
ATTRIBUTES | 2048 | 0
OUTPUTMC | 1 | 0 | 5
INPUTS | 6 | LED<7> | LED<6> | alreadystoredcnt<0> | resetclk<0> | uartskip<0> | HZIN
INPUTMC | 5 | 2 | 1 | 0 | 16 | 2 | 0 | 2 | 14 | 2 | 2
INPUTP | 1 | 65
EXPORTS | 1 | 0 | 5
EQ | 2 |
EXP7_.EXP = LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 0 | 9 | EXP8_
ATTRIBUTES | 2048 | 0
OUTPUTMC | 1 | 0 | 8
INPUTS | 8 | LED<6> | LED<2> | alreadystoredcnt<0> | HZIN | clkcounta<6> | LED<7> | resetclk<0> | uartskip<0>
INPUTMC | 7 | 0 | 16 | 0 | 8 | 2 | 0 | 1 | 10 | 2 | 1 | 2 | 14 | 2 | 2
INPUTP | 1 | 65
EXPORTS | 1 | 0 | 8
EQ | 5 |
EXP8_.EXP = !LED<6> & LED<2> & alreadystoredcnt<0>
# !LED<6> & LED<2> & !HZIN
# !alreadystoredcnt<0> & HZIN & clkcounta<6>
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 0 | 11 | EXP9_
ATTRIBUTES | 2048 | 0
OUTPUTMC | 1 | 0 | 10
INPUTS | 7 | LED<6> | LED<3> | HZIN | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0>
INPUTMC | 6 | 0 | 16 | 0 | 10 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2
INPUTP | 1 | 65
EXPORTS | 1 | 0 | 10
EQ | 3 |
EXP9_.EXP = !LED<6> & LED<3> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 0 | 12 | EXP10_
ATTRIBUTES | 2048 | 0
OUTPUTMC | 1 | 0 | 13
INPUTS | 7 | LED<6> | LED<4> | HZIN | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0>
INPUTMC | 6 | 0 | 16 | 0 | 13 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2
INPUTP | 1 | 65
EXPORTS | 1 | 0 | 13
EQ | 3 |
EXP10_.EXP = !LED<6> & LED<4> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 0 | 15 | EXP11_
ATTRIBUTES | 2048 | 0
OUTPUTMC | 1 | 0 | 14
INPUTS | 7 | LED<6> | LED<5> | HZIN | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0>
INPUTMC | 6 | 0 | 16 | 0 | 14 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2
INPUTP | 1 | 65
EXPORTS | 1 | 0 | 14
EQ | 3 |
EXP11_.EXP = !LED<6> & LED<5> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 1 | 0 | EXP12_
ATTRIBUTES | 2048 | 0
OUTPUTMC | 1 | 1 | 17
INPUTS | 7 | LED<6> | storecounta<13> | HZIN | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0>
INPUTMC | 6 | 0 | 16 | 1 | 17 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2
INPUTP | 1 | 65
EXPORTS | 1 | 1 | 17
EQ | 3 |
EXP12_.EXP = !LED<6> & storecounta<13> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 3 | 1 | EXP13_
ATTRIBUTES | 2048 | 0
OUTPUTMC | 1 | 3 | 0
INPUTS | 8 | LED<6> | alreadystoredcnt<0> | storecounta<14> | HZIN | clkcounta<11> | LED<7> | resetclk<0> | uartskip<0>
INPUTMC | 7 | 0 | 16 | 2 | 0 | 3 | 0 | 1 | 15 | 2 | 1 | 2 | 14 | 2 | 2
INPUTP | 1 | 65
EXPORTS | 1 | 3 | 0
EQ | 5 |
EXP13_.EXP = !LED<6> & alreadystoredcnt<0> & storecounta<14>
# !LED<6> & storecounta<14> & !HZIN
# !alreadystoredcnt<0> & HZIN & clkcounta<11>
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
MACROCELL | 3 | 11 | EXP14_
ATTRIBUTES | 2048 | 0
OUTPUTMC | 1 | 3 | 12
INPUTS | 7 | LED<6> | alreadystoredcnt<0> | HZIN | storecounta<18> | LED<7> | resetclk<0> | uartskip<0>
INPUTMC | 6 | 0 | 16 | 2 | 0 | 3 | 12 | 2 | 1 | 2 | 14 | 2 | 2
INPUTP | 1 | 65
EXPORTS | 1 | 3 | 12
EQ | 4 |
EXP14_.EXP = LED<6> & !alreadystoredcnt<0> & HZIN
# !alreadystoredcnt<0> & storecounta<18> & HZIN
# LED<7> & alreadystoredcnt<0> & !resetclk<0> &
uartskip<0> & !HZIN
MACROCELL | 3 | 15 | EXP15_
ATTRIBUTES | 2048 | 0
OUTPUTMC | 1 | 3 | 16
INPUTS | 7 | LED<6> | storecounta<6> | HZIN | LED<7> | alreadystoredcnt<0> | resetclk<0> | uartskip<0>
INPUTMC | 6 | 0 | 16 | 3 | 16 | 2 | 1 | 2 | 0 | 2 | 14 | 2 | 2
INPUTP | 1 | 65
EXPORTS | 1 | 3 | 16
EQ | 3 |
EXP15_.EXP = !LED<6> & storecounta<6> & !HZIN
# LED<7> & !LED<6> & alreadystoredcnt<0> &
!resetclk<0> & uartskip<0> & !HZIN
PIN | XSTALIN | 64 | 0 | N/A | 63 | 42 | 2 | 1 | 0 | 16 | 0 | 10 | 0 | 13 | 0 | 14 | 0 | 5 | 0 | 7 | 0 | 8 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 12 | 2 | 13 | 2 | 12 | 2 | 5 | 1 | 17 | 3 | 0 | 3 | 17 | 3 | 14 | 3 | 13 | 2 | 17 | 2 | 16 | 2 | 15 | 3 | 16 | 2 | 4 | 2 | 3 | 1 | 16 | 1 | 15 | 2 | 11 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14
PIN | HZIN | 64 | 0 | N/A | 65 | 52 | 2 | 1 | 0 | 16 | 0 | 10 | 0 | 13 | 0 | 14 | 0 | 5 | 0 | 7 | 0 | 8 | 2 | 0 | 2 | 14 | 2 | 2 | 2 | 9 | 2 | 8 | 2 | 7 | 2 | 6 | 3 | 11 | 2 | 13 | 2 | 12 | 2 | 5 | 1 | 17 | 3 | 0 | 3 | 17 | 3 | 14 | 3 | 13 | 2 | 17 | 2 | 16 | 2 | 15 | 3 | 16 | 2 | 4 | 2 | 3 | 1 | 16 | 1 | 15 | 1 | 0 | 2 | 10 | 1 | 13 | 1 | 12 | 1 | 11 | 1 | 10 | 1 | 9 | 1 | 8 | 1 | 7 | 1 | 14 | 0 | 4 | 0 | 6 | 0 | 9 | 0 | 11 | 0 | 12 | 0 | 15 | 2 | 11 | 3 | 1 | 3 | 12 | 3 | 15
PIN | LED<7> | 536871040 | 0 | N/A | 29
PIN | LED<6> | 536871040 | 0 | N/A | 27
PIN | LED<3> | 536871040 | 0 | N/A | 21
PIN | LED<4> | 536871040 | 0 | N/A | 24
PIN | LED<5> | 536871040 | 0 | N/A | 26
PIN | LED<0> | 536871040 | 0 | N/A | 13
PIN | LED<1> | 536871040 | 0 | N/A | 15
PIN | LED<2> | 536871040 | 0 | N/A | 20
PIN | TX | 536871040 | 0 | N/A | 31

+ 3
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.nga
File diff suppressed because it is too large
View File


+ 3
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.ngc
File diff suppressed because it is too large
View File


+ 3
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.ngd
File diff suppressed because it is too large
View File


+ 3
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.ngr
File diff suppressed because it is too large
View File


+ 73
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.pad View File

@ -0,0 +1,73 @@
Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
8- 4-2020 0:40AM
NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The pipe '|'
character is used as the data field separator.
This file is also designed to support parsing.
Input file: counta.ngd
output file: counta.pad
Part type: xc9572xl
Speed grade: -5
Package: vq44
Pinout by Pin Number:
-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|
Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|{blank}|Slew Rate|Termination|{blank}|Voltage|Constraint|
P1|LED<4>|O|I/O/GCK3|OUTPUT|||||||||
P2|LED<5>|O|I/O|OUTPUT|||||||||
P3|LED<6>|O|I/O|OUTPUT|||||||||
P4|GND||GND||||||||||
P5|LED<7>|O|I/O|OUTPUT|||||||||
P6|TX|O|I/O|OUTPUT|||||||||
P7|TIE||I/O||||||||||
P8|TIE||I/O||||||||||
P9|TDI||TDI||||||||||
P10|TMS||TMS||||||||||
P11|TCK||TCK||||||||||
P12|TIE||I/O||||||||||
P13|TIE||I/O||||||||||
P14|TIE||I/O||||||||||
P15|VCC||VCCINT||||||||||
P16|TIE||I/O||||||||||
P17|GND||GND||||||||||
P18|TIE||I/O||||||||||
P19|TIE||I/O||||||||||
P20|XSTALIN|I|I/O|INPUT|||||||||
P21|HZIN|I|I/O|INPUT|||||||||
P22|TIE||I/O||||||||||
P23|TIE||I/O||||||||||
P24|TDO||TDO||||||||||
P25|GND||GND||||||||||
P26|VCC||VCCIO||||||||||
P27|TIE||I/O||||||||||
P28|TIE||I/O||||||||||
P29|TIE||I/O||||||||||
P30|TIE||I/O||||||||||
P31|TIE||I/O||||||||||
P32|TIE||I/O||||||||||
P33|TIE||I/O/GSR||||||||||
P34|TIE||I/O/GTS2||||||||||
P35|VCC||VCCINT||||||||||
P36|TIE||I/O/GTS1||||||||||
P37|TIE||I/O||||||||||
P38|TIE||I/O||||||||||
P39|TIE||I/O||||||||||
P40|TIE||I/O||||||||||
P41|LED<0>|O|I/O|OUTPUT|||||||||
P42|LED<1>|O|I/O|OUTPUT|||||||||
P43|LED<2>|O|I/O/GCK1|OUTPUT|||||||||
P44|LED<3>|O|I/O/GCK2|OUTPUT|||||||||
To preserve the pinout above for future design iterations in
Project Navigator simply execute the (Lock Pins) process
located under the (Implement Design) process in a toolbox named
(Optional Implementation Tools) or invoke PIN2UCF from the
command line. The location constraints will be written into your
specified UCF file

+ 18
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.pnx View File

@ -0,0 +1,18 @@
<?xml version='1.0' encoding='utf-8' ?>
<!DOCTYPE ibis [
<!ELEMENT ibis (part, pin+)>
<!ELEMENT part EMPTY>
<!ELEMENT pin EMPTY>
<!ATTLIST part
arch CDATA #REQUIRED
device CDATA #REQUIRED
spg CDATA #REQUIRED
pkg CDATA #REQUIRED>
<!ATTLIST pin
nm CDATA #REQUIRED
no CDATA #REQUIRED
iostd (TTL|LVTTL|LVCMOS2|NA) "NA"
sr (SLOW|FAST|slow|fast) "SLOW"
dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR">
]>
<ibis><part arch="xc9500xl" device="XC9572XL" pkg="VQ44" spg="-5"/><pin dir="input" nm="XSTALIN" no="20"/><pin dir="input" nm="HZIN" no="21"/><pin dir="output" nm="LED&lt;7&gt;" no="5" sr="fast"/><pin dir="output" nm="LED&lt;6&gt;" no="3" sr="fast"/><pin dir="output" nm="LED&lt;3&gt;" no="44" sr="fast"/><pin dir="output" nm="LED&lt;4&gt;" no="1" sr="fast"/><pin dir="output" nm="LED&lt;5&gt;" no="2" sr="fast"/><pin dir="output" nm="LED&lt;0&gt;" no="41" sr="fast"/><pin dir="output" nm="LED&lt;1&gt;" no="42" sr="fast"/><pin dir="output" nm="LED&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="TX" no="6" sr="fast"/></ibis>

+ 1
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.prj View File

@ -0,0 +1 @@
vhdl work "counta.vhd"

+ 812
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.rpt View File

@ -0,0 +1,812 @@
cpldfit: version P.20131013 Xilinx Inc.
Fitter Report
Design Name: counta Date: 8- 4-2020, 0:40AM
Device Used: XC9572XL-5-VQ44
Fitting Status: Successful
************************* Mapped Resource Summary **************************
Macrocells Product Terms Function Block Registers Pins
Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot
42 /72 ( 58%) 227 /360 ( 63%) 86 /216 ( 40%) 42 /72 ( 58%) 11 /34 ( 32%)
** Function Block Resources **
Function Mcells FB Inps Pterms IO
Block Used/Tot Used/Tot Used/Tot Used/Tot
FB1 7/18 25/54 47/90 7/ 9
FB2 11/18 22/54 57/90 0/ 9
FB3 18/18* 22/54 84/90 2/ 9
FB4 6/18 17/54 39/90 2/ 7
----- ----- ----- -----
42/72 86/216 227/360 11/34
* - Resource is exhausted
** Global Control Resources **
Global clock net(s) unused.
Global output enable net(s) unused.
Global set/reset net(s) unused.
** Pin Resources **
Signal Type Required Mapped | Pin Type Used Total
------------------------------------|------------------------------------
Input : 2 2 | I/O : 8 28
Output : 9 9 | GCK/IO : 3 3
Bidirectional : 0 0 | GTS/IO : 0 2
GCK : 0 0 | GSR/IO : 0 1
GTS : 0 0 |
GSR : 0 0 |
---- ----
Total 11 11
** Power Data **
There are 42 macrocells in high performance mode (MCHP).
There are 0 macrocells in low power mode (MCLP).
End of Mapped Resource Summary
************************** Errors and Warnings ***************************
WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will
use the default filename of 'counta.ise'.
************************* Summary of Mapped Logic ************************
** 9 Outputs **
Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init
Name Pts Inps No. Type Use Mode Rate State
LED<0> 7 10 FB1_6 41 I/O O STD FAST RESET
LED<1> 7 10 FB1_8 42 I/O O STD FAST RESET
LED<2> 7 10 FB1_9 43 GCK/I/O O STD FAST RESET
LED<3> 7 10 FB1_11 44 GCK/I/O O STD FAST RESET
LED<4> 7 10 FB1_14 1 GCK/I/O O STD FAST RESET
LED<5> 7 10 FB1_15 2 I/O O STD FAST RESET
LED<6> 5 12 FB1_17 3 I/O O STD FAST RESET
LED<7> 4 12 FB3_2 5 I/O O STD FAST RESET
TX 6 9 FB3_5 6 I/O O STD FAST RESET
** 33 Buried Nodes **
Signal Total Total Loc Pwr Reg Init
Name Pts Inps Mode State
clkcounta<9> 5 14 FB2_8 STD RESET
clkcounta<8> 5 13 FB2_9 STD RESET
clkcounta<7> 5 12 FB2_10 STD RESET
clkcounta<6> 5 11 FB2_11 STD RESET
clkcounta<5> 5 10 FB2_12 STD RESET
clkcounta<4> 5 9 FB2_13 STD RESET
clkcounta<3> 5 8 FB2_14 STD RESET
clkcounta<12> 5 17 FB2_15 STD RESET
clkcounta<11> 5 16 FB2_16 STD RESET
clkcounta<10> 5 15 FB2_17 STD RESET
storecounta<13> 7 10 FB2_18 STD RESET
alreadystoredcnt<0> 3 7 FB3_1 STD RESET
uartskip<0> 3 7 FB3_3 STD RESET
clkcounta<0> 3 5 FB3_4 STD RESET
uartctr<4> 4 12 FB3_6 STD RESET
uartctr<3> 4 12 FB3_7 STD RESET
uartctr<2> 4 12 FB3_8 STD RESET
uartctr<1> 4 12 FB3_9 STD RESET
uartctr<0> 4 12 FB3_10 STD RESET
clkcounta<2> 5 7 FB3_11 STD RESET
clkcounta<1> 5 6 FB3_12 STD RESET
storecounta<2> 6 9 FB3_13 STD RESET
storecounta<1> 6 9 FB3_14 STD RESET
resetclk<0> 2 3 FB3_15 STD RESET
storecounta<5> 7 10 FB3_16 STD RESET
storecounta<4> 7 10 FB3_17 STD RESET
storecounta<3> 7 10 FB3_18 STD RESET
storecounta<14> 7 10 FB4_1 STD RESET
storecounta<18> 6 8 FB4_13 STD RESET
storecounta<17> 6 9 FB4_14 STD RESET
storecounta<16> 6 9 FB4_15 STD RESET
storecounta<6> 7 10 FB4_17 STD RESET
storecounta<15> 7 10 FB4_18 STD RESET
** 2 Inputs **
Signal Loc Pin Pin Pin
Name No. Type Use
XSTALIN FB4_5 20 I/O I
HZIN FB4_8 21 I/O I
Legend:
Pin No. - ~ - User Assigned
************************** Function Block Details ************************
Legend:
Total Pt - Total product terms used by the macrocell signal
Imp Pt - Product terms imported from other macrocells
Exp Pt - Product terms exported to other macrocells
in direction shown
Unused Pt - Unused local product terms remaining in macrocell
Loc - Location where logic was mapped in device
Pin Type/Use - I - Input GCK - Global Clock
O - Output GTS - Global Output Enable
(b) - Buried macrocell GSR - Global Set/Reset
X - Signal used as input to the macrocell logic.
Pin No. - ~ - User Assigned
*********************************** FB1 ***********************************
Number of function block inputs used/remaining: 25/29
Number of signals used by logic mapping into function block: 25
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
(unused) 0 0 0 5 FB1_1 (b)
(unused) 0 0 0 5 FB1_2 39 I/O
(unused) 0 0 0 5 FB1_3 (b)
(unused) 0 0 0 5 FB1_4 (b)
(unused) 0 0 \/1 4 FB1_5 40 I/O (b)
LED<0> 7 2<- 0 0 FB1_6 41 I/O O
(unused) 0 0 /\1 4 FB1_7 (b) (b)
LED<1> 7 2<- 0 0 FB1_8 42 I/O O
LED<2> 7 4<- /\2 0 FB1_9 43 GCK/I/O O
(unused) 0 0 /\4 1 FB1_10 (b) (b)
LED<3> 7 2<- 0 0 FB1_11 44 GCK/I/O O
(unused) 0 0 /\2 3 FB1_12 (b) (b)
(unused) 0 0 \/2 3 FB1_13 (b) (b)
LED<4> 7 2<- 0 0 FB1_14 1 GCK/I/O O
LED<5> 7 2<- 0 0 FB1_15 2 I/O O
(unused) 0 0 /\2 3 FB1_16 (b) (b)
LED<6> 5 0 0 0 FB1_17 3 I/O O
(unused) 0 0 0 5 FB1_18 (b)
Signals Used by Logic in Function Block
1: HZIN 10: XSTALIN 18: resetclk<0>
2: LED<0> 11: alreadystoredcnt<0> 19: storecounta<13>
3: LED<1> 12: clkcounta<4> 20: uartctr<0>
4: LED<2> 13: clkcounta<5> 21: uartctr<1>
5: LED<3> 14: clkcounta<6> 22: uartctr<2>
6: LED<4> 15: clkcounta<7> 23: uartctr<3>
7: LED<5> 16: clkcounta<8> 24: uartctr<4>
8: LED<6> 17: clkcounta<9> 25: uartskip<0>
9: LED<7>
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
LED<0> XXX....XXXXX.....X......X............... 10
LED<1> X.XX...XXXX.X....X......X............... 10
LED<2> X..XX..XXXX..X...X......X............... 10
LED<3> X...XX.XXXX...X..X......X............... 10
LED<4> X....XXXXXX....X.X......X............... 10
LED<5> X.....XXXXX.....XXX.....X............... 10
LED<6> X......XXXX......X.XXXXXX............... 12
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB2 ***********************************
Number of function block inputs used/remaining: 22/32
Number of signals used by logic mapping into function block: 22
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
(unused) 0 0 /\2 3 FB2_1 (b) (b)
(unused) 0 0 0 5 FB2_2 29 I/O
(unused) 0 0 0 5 FB2_3 (b)
(unused) 0 0 0 5 FB2_4 (b)
(unused) 0 0 0 5 FB2_5 30 I/O
(unused) 0 0 0 5 FB2_6 31 I/O
(unused) 0 0 0 5 FB2_7 (b)
clkcounta<9> 5 0 0 0 FB2_8 32 I/O (b)
clkcounta<8> 5 0 0 0 FB2_9 33 GSR/I/O (b)
clkcounta<7> 5 0 0 0 FB2_10 (b) (b)
clkcounta<6> 5 0 0 0 FB2_11 34 GTS/I/O (b)
clkcounta<5> 5 0 0 0 FB2_12 (b) (b)
clkcounta<4> 5 0 0 0 FB2_13 (b) (b)
clkcounta<3> 5 0 0 0 FB2_14 36 GTS/I/O (b)
clkcounta<12> 5 0 0 0 FB2_15 37 I/O (b)
clkcounta<11> 5 0 0 0 FB2_16 (b) (b)
clkcounta<10> 5 0 0 0 FB2_17 38 I/O (b)
storecounta<13> 7 2<- 0 0 FB2_18 (b) (b)
Signals Used by Logic in Function Block
1: HZIN 9: clkcounta<12> 16: clkcounta<7>
2: LED<6> 10: clkcounta<1> 17: clkcounta<8>
3: LED<7> 11: clkcounta<2> 18: clkcounta<9>
4: XSTALIN 12: clkcounta<3> 19: resetclk<0>
5: alreadystoredcnt<0> 13: clkcounta<4> 20: storecounta<13>
6: clkcounta<0> 14: clkcounta<5> 21: storecounta<14>
7: clkcounta<10> 15: clkcounta<6> 22: uartskip<0>
8: clkcounta<11>
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
clkcounta<9> X..XXX...XXXXXXXXXX..................... 14
clkcounta<8> X..XXX...XXXXXXXX.X..................... 13
clkcounta<7> X..XXX...XXXXXXX..X..................... 12
clkcounta<6> X..XXX...XXXXXX...X..................... 11
clkcounta<5> X..XXX...XXXXX....X..................... 10
clkcounta<4> X..XXX...XXXX.....X..................... 9
clkcounta<3> X..XXX...XXX......X..................... 8
clkcounta<12> X..XXXXXXXXXXXXXXXX..................... 17
clkcounta<11> X..XXXXX.XXXXXXXXXX..................... 16
clkcounta<10> X..XXXX..XXXXXXXXXX..................... 15
storecounta<13> XXXXX.X...........XXXX.................. 10
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB3 ***********************************
Number of function block inputs used/remaining: 22/32
Number of signals used by logic mapping into function block: 22
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
alreadystoredcnt<0> 3 1<- /\3 0 FB3_1 (b) (b)
LED<7> 4 0 /\1 0 FB3_2 5 I/O O
uartskip<0> 3 0 0 2 FB3_3 (b) (b)
clkcounta<0> 3 0 \/1 1 FB3_4 (b) (b)
TX 6 1<- 0 0 FB3_5 6 I/O O
uartctr<4> 4 0 0 1 FB3_6 (b) (b)
uartctr<3> 4 0 0 1 FB3_7 (b) (b)
uartctr<2> 4 0 0 1 FB3_8 7 I/O (b)
uartctr<1> 4 0 \/1 0 FB3_9 8 I/O (b)
uartctr<0> 4 1<- \/2 0 FB3_10 (b) (b)
clkcounta<2> 5 2<- \/2 0 FB3_11 12 I/O (b)
clkcounta<1> 5 2<- \/2 0 FB3_12 (b) (b)
storecounta<2> 6 2<- \/1 0 FB3_13 (b) (b)
storecounta<1> 6 1<- 0 0 FB3_14 13 I/O (b)
resetclk<0> 2 0 \/3 0 FB3_15 14 I/O (b)
storecounta<5> 7 3<- \/1 0 FB3_16 18 I/O (b)
storecounta<4> 7 2<- 0 0 FB3_17 16 I/O (b)
storecounta<3> 7 3<- /\1 0 FB3_18 (b) (b)
Signals Used by Logic in Function Block
1: HZIN 9: clkcounta<2> 16: storecounta<6>
2: LED<6> 10: resetclk<0> 17: uartctr<0>
3: LED<7> 11: storecounta<1> 18: uartctr<1>
4: TX 12: storecounta<2> 19: uartctr<2>
5: XSTALIN 13: storecounta<3> 20: uartctr<3>
6: alreadystoredcnt<0> 14: storecounta<4> 21: uartctr<4>
7: clkcounta<0> 15: storecounta<5> 22: uartskip<0>
8: clkcounta<1>
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
alreadystoredcnt<0> XXX.XX...X...........X.................. 7
LED<7> XXX.XX...X......XXXXXX.................. 12
uartskip<0> XXX.XX...X...........X.................. 7
clkcounta<0> X...XXX..X.............................. 5
TX XXXXXX...XX..........X.................. 9
uartctr<4> XXX.XX...X......XXXXXX.................. 12
uartctr<3> XXX.XX...X......XXXXXX.................. 12
uartctr<2> XXX.XX...X......XXXXXX.................. 12
uartctr<1> XXX.XX...X......XXXXXX.................. 12
uartctr<0> XXX.XX...X......XXXXXX.................. 12
clkcounta<2> X...XXXXXX.............................. 7
clkcounta<1> X...XXXX.X.............................. 6
storecounta<2> XXX.XX...X.XX........X.................. 9
storecounta<1> XXX.XX...XXX.........X.................. 9
resetclk<0> X...XX.................................. 3
storecounta<5> XXX.XX..XX....XX.....X.................. 10
storecounta<4> XXX.XX.X.X...XX......X.................. 10
storecounta<3> XXX.XXX..X..XX.......X.................. 10
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB4 ***********************************
Number of function block inputs used/remaining: 17/37
Number of signals used by logic mapping into function block: 17
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
storecounta<14> 7 4<- /\2 0 FB4_1 (b) (b)
(unused) 0 0 /\4 1 FB4_2 19 I/O (b)
(unused) 0 0 0 5 FB4_3 (b)
(unused) 0 0 0 5 FB4_4 (b)
(unused) 0 0 0 5 FB4_5 20 I/O I
(unused) 0 0 0 5 FB4_6 (b)
(unused) 0 0 0 5 FB4_7 (b)
(unused) 0 0 0 5 FB4_8 21 I/O I
(unused) 0 0 0 5 FB4_9 (b)
(unused) 0 0 0 5 FB4_10 (b)
(unused) 0 0 0 5 FB4_11 22 I/O
(unused) 0 0 \/3 2 FB4_12 (b) (b)
storecounta<18> 6 3<- \/2 0 FB4_13 (b) (b)
storecounta<17> 6 2<- \/1 0 FB4_14 23 I/O (b)
storecounta<16> 6 1<- 0 0 FB4_15 27 I/O (b)
(unused) 0 0 \/2 3 FB4_16 (b) (b)
storecounta<6> 7 2<- 0 0 FB4_17 28 I/O (b)
storecounta<15> 7 2<- 0 0 FB4_18 (b) (b)
Signals Used by Logic in Function Block
1: HZIN 7: clkcounta<11> 13: storecounta<16>
2: LED<0> 8: clkcounta<12> 14: storecounta<17>
3: LED<6> 9: clkcounta<3> 15: storecounta<18>
4: LED<7> 10: resetclk<0> 16: storecounta<6>
5: XSTALIN 11: storecounta<14> 17: uartskip<0>
6: alreadystoredcnt<0> 12: storecounta<15>
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
storecounta<14> X.XXXXX..XXX....X....................... 10
storecounta<18> X.XXXX...X....X.X....................... 8
storecounta<17> X.XXXX...X...XX.X....................... 9
storecounta<16> X.XXXX...X..XX..X....................... 9
storecounta<6> XXXXXX..XX.....XX....................... 10
storecounta<15> X.XXXX.X.X.XX...X....................... 10
0----+----1----+----2----+----3----+----4
0 0 0 0
******************************* Equations ********************************
********** Mapped Logic **********
FDCPE_LED0: FDCPE port map (LED(0),LED_D(0),XSTALIN,'0','0');
LED_D(0) <= ((NOT LED(6) AND LED(0) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(1) AND alreadystoredcnt(0))
OR (LED(6) AND LED(1) AND NOT HZIN)
OR (NOT LED(6) AND LED(0) AND alreadystoredcnt(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(4)));
FDCPE_LED1: FDCPE port map (LED(1),LED_D(1),XSTALIN,'0','0');
LED_D(1) <= ((NOT LED(6) AND LED(1) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(2) AND alreadystoredcnt(0))
OR (LED(6) AND LED(2) AND NOT HZIN)
OR (NOT LED(6) AND LED(1) AND alreadystoredcnt(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(5)));
FDCPE_LED2: FDCPE port map (LED(2),LED_D(2),XSTALIN,'0','0');
LED_D(2) <= ((NOT LED(6) AND LED(2) AND alreadystoredcnt(0))
OR (NOT LED(6) AND LED(2) AND NOT HZIN)
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(6))
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(3) AND alreadystoredcnt(0))
OR (LED(6) AND LED(3) AND NOT HZIN));
FDCPE_LED3: FDCPE port map (LED(3),LED_D(3),XSTALIN,'0','0');
LED_D(3) <= ((NOT LED(6) AND LED(3) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(4) AND alreadystoredcnt(0))
OR (LED(6) AND LED(4) AND NOT HZIN)
OR (NOT LED(6) AND LED(3) AND alreadystoredcnt(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(7)));
FDCPE_LED4: FDCPE port map (LED(4),LED_D(4),XSTALIN,'0','0');
LED_D(4) <= ((NOT LED(6) AND LED(4) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(5) AND alreadystoredcnt(0))
OR (LED(6) AND LED(5) AND NOT HZIN)
OR (NOT LED(6) AND LED(4) AND alreadystoredcnt(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(8)));
FDCPE_LED5: FDCPE port map (LED(5),LED_D(5),XSTALIN,'0','0');
LED_D(5) <= ((NOT LED(6) AND LED(5) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(13))
OR (LED(6) AND storecounta(13) AND NOT HZIN)
OR (NOT LED(6) AND LED(5) AND alreadystoredcnt(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(9)));
FTCPE_LED6: FTCPE port map (LED(6),LED_T(6),XSTALIN,'0','0');
LED_T(6) <= ((NOT LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND NOT uartskip(0))
OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (LED(6) AND alreadystoredcnt(0) AND NOT resetclk(0) AND
uartskip(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_LED7: FTCPE port map (LED(7),LED_T(7),XSTALIN,'0','0');
LED_T(7) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND
uartctr(2) AND uartctr(3) AND uartctr(4))
OR (NOT LED(7) AND LED(6) AND NOT alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4) AND NOT HZIN));
FDCPE_TX: FDCPE port map (TX,TX_D,XSTALIN,'0','0');
TX_D <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND NOT resetclk(0) AND storecounta(1))
OR (NOT LED(6) AND NOT resetclk(0) AND TX)
OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND
HZIN)
OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND HZIN AND TX));
FDCPE_alreadystoredcnt0: FDCPE port map (alreadystoredcnt(0),alreadystoredcnt_D(0),XSTALIN,'0','0');
alreadystoredcnt_D(0) <= ((LED(7) AND NOT LED(6) AND NOT resetclk(0) AND uartskip(0) AND
NOT HZIN)
OR (NOT alreadystoredcnt(0) AND NOT HZIN));
FDCPE_clkcounta0: FDCPE port map (clkcounta(0),clkcounta_D(0),XSTALIN,'0','0');
clkcounta_D(0) <= ((NOT resetclk(0) AND NOT clkcounta(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0)));
FDCPE_clkcounta1: FDCPE port map (clkcounta(1),clkcounta_D(1),XSTALIN,'0','0');
clkcounta_D(1) <= ((NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
NOT clkcounta(1))
OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0) AND
clkcounta(1))
OR (NOT resetclk(0) AND clkcounta(0) AND NOT clkcounta(1))
OR (NOT resetclk(0) AND NOT clkcounta(0) AND clkcounta(1)));
FTCPE_clkcounta2: FTCPE port map (clkcounta(2),clkcounta_T(2),XSTALIN,'0','0');
clkcounta_T(2) <= ((NOT resetclk(0) AND clkcounta(0) AND clkcounta(1))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1))
OR (alreadystoredcnt(0) AND resetclk(0) AND clkcounta(2))
OR (resetclk(0) AND NOT HZIN AND clkcounta(2)));
FTCPE_clkcounta3: FTCPE port map (clkcounta(3),clkcounta_T(3),XSTALIN,'0','0');
clkcounta_T(3) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(3))
OR (resetclk(0) AND NOT HZIN AND clkcounta(3))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2)));
FTCPE_clkcounta4: FTCPE port map (clkcounta(4),clkcounta_T(4),XSTALIN,'0','0');
clkcounta_T(4) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(4))
OR (resetclk(0) AND NOT HZIN AND clkcounta(4))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3)));
FTCPE_clkcounta5: FTCPE port map (clkcounta(5),clkcounta_T(5),XSTALIN,'0','0');
clkcounta_T(5) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(5))
OR (resetclk(0) AND NOT HZIN AND clkcounta(5))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4)));
FTCPE_clkcounta6: FTCPE port map (clkcounta(6),clkcounta_T(6),XSTALIN,'0','0');
clkcounta_T(6) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(6))
OR (resetclk(0) AND NOT HZIN AND clkcounta(6))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5)));
FTCPE_clkcounta7: FTCPE port map (clkcounta(7),clkcounta_T(7),XSTALIN,'0','0');
clkcounta_T(7) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(7))
OR (resetclk(0) AND NOT HZIN AND clkcounta(7))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
clkcounta(6))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5) AND clkcounta(6)));
FTCPE_clkcounta8: FTCPE port map (clkcounta(8),clkcounta_T(8),XSTALIN,'0','0');
clkcounta_T(8) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(8))
OR (resetclk(0) AND NOT HZIN AND clkcounta(8))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
clkcounta(6) AND clkcounta(7))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5) AND clkcounta(6) AND clkcounta(7)));
FTCPE_clkcounta9: FTCPE port map (clkcounta(9),clkcounta_T(9),XSTALIN,'0','0');
clkcounta_T(9) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(9))
OR (resetclk(0) AND NOT HZIN AND clkcounta(9))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
clkcounta(6) AND clkcounta(7) AND clkcounta(8))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8)));
FTCPE_clkcounta10: FTCPE port map (clkcounta(10),clkcounta_T(10),XSTALIN,'0','0');
clkcounta_T(10) <= ((alreadystoredcnt(0) AND resetclk(0) AND
clkcounta(10))
OR (resetclk(0) AND NOT HZIN AND clkcounta(10))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND clkcounta(9))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND
clkcounta(9)));
FTCPE_clkcounta11: FTCPE port map (clkcounta(11),clkcounta_T(11),XSTALIN,'0','0');
clkcounta_T(11) <= ((alreadystoredcnt(0) AND resetclk(0) AND
clkcounta(11))
OR (resetclk(0) AND NOT HZIN AND clkcounta(11))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND
clkcounta(9))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(10) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND
clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND
clkcounta(8) AND clkcounta(9)));
FTCPE_clkcounta12: FTCPE port map (clkcounta(12),clkcounta_T(12),XSTALIN,'0','0');
clkcounta_T(12) <= ((alreadystoredcnt(0) AND resetclk(0) AND
clkcounta(12))
OR (resetclk(0) AND NOT HZIN AND clkcounta(12))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND
clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND
clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND
clkcounta(8) AND clkcounta(9))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(10) AND clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND
clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND
clkcounta(7) AND clkcounta(8) AND clkcounta(9)));
FDCPE_resetclk0: FDCPE port map (resetclk(0),resetclk_D(0),XSTALIN,'0','0');
resetclk_D(0) <= (NOT alreadystoredcnt(0) AND HZIN);
FDCPE_storecounta1: FDCPE port map (storecounta(1),storecounta_D(1),XSTALIN,'0','0');
storecounta_D(1) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND NOT resetclk(0) AND storecounta(2))
OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(1))
OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(2) AND
HZIN)
OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND
HZIN));
FDCPE_storecounta2: FDCPE port map (storecounta(2),storecounta_D(2),XSTALIN,'0','0');
storecounta_D(2) <= ((NOT LED(6) AND storecounta(2))
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
uartskip(0) AND NOT HZIN)
OR (LED(6) AND storecounta(3))
OR (alreadystoredcnt(0) AND resetclk(0))
OR (resetclk(0) AND NOT HZIN));
FDCPE_storecounta3: FDCPE port map (storecounta(3),storecounta_D(3),XSTALIN,'0','0');
storecounta_D(3) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(3))
OR (NOT LED(6) AND storecounta(3) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(4))
OR (LED(6) AND storecounta(4) AND NOT HZIN)
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0)));
FDCPE_storecounta4: FDCPE port map (storecounta(4),storecounta_D(4),XSTALIN,'0','0');
storecounta_D(4) <= ((NOT LED(6) AND storecounta(4) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(5))
OR (LED(6) AND storecounta(5) AND NOT HZIN)
OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(4))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(1)));
FDCPE_storecounta5: FDCPE port map (storecounta(5),storecounta_D(5),XSTALIN,'0','0');
storecounta_D(5) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(5))
OR (NOT LED(6) AND storecounta(5) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(6))
OR (LED(6) AND storecounta(6) AND NOT HZIN)
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(2)));
FDCPE_storecounta6: FDCPE port map (storecounta(6),storecounta_D(6),XSTALIN,'0','0');
storecounta_D(6) <= ((NOT LED(6) AND storecounta(6) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(0) AND alreadystoredcnt(0))
OR (LED(6) AND LED(0) AND NOT HZIN)
OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(6))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(3)));
FDCPE_storecounta13: FDCPE port map (storecounta(13),storecounta_D(13),XSTALIN,'0','0');
storecounta_D(13) <= ((NOT LED(6) AND storecounta(13) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(14))
OR (LED(6) AND storecounta(14) AND NOT HZIN)
OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(13))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(10)));
FDCPE_storecounta14: FDCPE port map (storecounta(14),storecounta_D(14),XSTALIN,'0','0');
storecounta_D(14) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(14))
OR (NOT LED(6) AND storecounta(14) AND NOT HZIN)
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(11))
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(15))
OR (LED(6) AND storecounta(15) AND NOT HZIN));
FDCPE_storecounta15: FDCPE port map (storecounta(15),storecounta_D(15),XSTALIN,'0','0');
storecounta_D(15) <= ((NOT LED(6) AND storecounta(15) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(16))
OR (LED(6) AND storecounta(16) AND NOT HZIN)
OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(15))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(12)));
FDCPE_storecounta16: FDCPE port map (storecounta(16),storecounta_D(16),XSTALIN,'0','0');
storecounta_D(16) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
uartskip(0) AND NOT HZIN)
OR (LED(6) AND storecounta(17))
OR (NOT LED(6) AND storecounta(16))
OR (alreadystoredcnt(0) AND resetclk(0))
OR (resetclk(0) AND NOT HZIN));
FDCPE_storecounta17: FDCPE port map (storecounta(17),storecounta_D(17),XSTALIN,'0','0');
storecounta_D(17) <= ((NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(17) AND
HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND NOT resetclk(0) AND storecounta(18))
OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(17))
OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(18) AND
HZIN));
FDCPE_storecounta18: FDCPE port map (storecounta(18),storecounta_D(18),XSTALIN,'0','0');
storecounta_D(18) <= ((LED(6) AND NOT alreadystoredcnt(0) AND HZIN)
OR (NOT alreadystoredcnt(0) AND storecounta(18) AND HZIN)
OR (LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND
uartskip(0) AND NOT HZIN)
OR (LED(6) AND NOT resetclk(0))
OR (NOT resetclk(0) AND storecounta(18)));
FTCPE_uartctr0: FTCPE port map (uartctr(0),uartctr_T(0),XSTALIN,'0','0');
uartctr_T(0) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_uartctr1: FTCPE port map (uartctr(1),uartctr_T(1),XSTALIN,'0','0');
uartctr_T(1) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND uartctr(0))
OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_uartctr2: FTCPE port map (uartctr(2),uartctr_T(2),XSTALIN,'0','0');
uartctr_T(2) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1))
OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_uartctr3: FTCPE port map (uartctr(3),uartctr_T(3),XSTALIN,'0','0');
uartctr_T(3) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND
uartctr(2))
OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_uartctr4: FTCPE port map (uartctr(4),uartctr_T(4),XSTALIN,'0','0');
uartctr_T(4) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND
uartctr(2) AND uartctr(3))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_uartskip0: FTCPE port map (uartskip(0),uartskip_T(0),XSTALIN,'0','0');
uartskip_T(0) <= ((NOT LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND
NOT uartskip(0))
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN));
Register Legend:
FDCPE (Q,D,C,CLR,PRE,CE);
FTCPE (Q,D,C,CLR,PRE,CE);
LDCP (Q,D,G,CLR,PRE);
****************************** Device Pin Out *****************************
Device : XC9572XL-5-VQ44
--------------------------------
/44 43 42 41 40 39 38 37 36 35 34 \
| 1 33 |
| 2 32 |
| 3 31 |
| 4 30 |
| 5 XC9572XL-5-VQ44 29 |
| 6 28 |
| 7 27 |
| 8 26 |
| 9 25 |
| 10 24 |
| 11 23 |
\ 12 13 14 15 16 17 18 19 20 21 22 /
--------------------------------
Pin Signal Pin Signal
No. Name No. Name
1 LED<4> 23 KPR
2 LED<5> 24 TDO
3 LED<6> 25 GND
4 GND 26 VCC
5 LED<7> 27 KPR
6 TX 28 KPR
7 KPR 29 KPR
8 KPR 30 KPR
9 TDI 31 KPR
10 TMS 32 KPR
11 TCK 33 KPR
12 KPR 34 KPR
13 KPR 35 VCC
14 KPR 36 KPR
15 VCC 37 KPR
16 KPR 38 KPR
17 GND 39 KPR
18 KPR 40 KPR
19 KPR 41 LED<0>
20 XSTALIN 42 LED<1>
21 HZIN 43 LED<2>
22 KPR 44 LED<3>
Legend : NC = Not Connected, unbonded pin
PGND = Unused I/O configured as additional Ground pin
TIE = Unused I/O floating -- must tie to VCC, GND or other signal
KPR = Unused I/O with weak keeper (leave unconnected)
VCC = Dedicated Power Pin
GND = Dedicated Ground Pin
TDI = Test Data In, JTAG pin
TDO = Test Data Out, JTAG pin
TCK = Test Clock, JTAG pin
TMS = Test Mode Select, JTAG pin
PROHIBITED = User reserved pin
**************************** Compiler Options ****************************
Following is a list of all global compiler options used by the fitter run.
Device(s) Specified : xc9572xl-5-VQ44
Optimization Method : SPEED
Multi-Level Logic Optimization : ON
Ignore Timing Specifications : OFF
Default Register Power Up Value : LOW
Keep User Location Constraints : ON
What-You-See-Is-What-You-Get : OFF
Exhaustive Fitting : OFF
Keep Unused Inputs : OFF
Slew Rate : FAST
Power Mode : STD
Ground on Unused IOs : OFF
Set I/O Pin Termination : KEEPER
Global Clock Optimization : ON
Global Set/Reset Optimization : ON
Global Ouput Enable Optimization : ON
Input Limit : 54
Pterm Limit : 25

+ 0
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.stx View File


+ 259
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.syr View File

@ -0,0 +1,259 @@
Release 14.7 - xst P.20131013 (lin)
Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
-->
Parameter TMPDIR set to xst/projnav.tmp
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.13 secs
-->
Parameter xsthdpdir set to xst
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.13 secs
-->
Reading design: counta.prj
TABLE OF CONTENTS
1) Synthesis Options Summary
2) HDL Compilation
3) Design Hierarchy Analysis
4) HDL Analysis
5) HDL Synthesis
5.1) HDL Synthesis Report
6) Advanced HDL Synthesis
6.1) Advanced HDL Synthesis Report
7) Low Level Synthesis
8) Partition Report
9) Final Report
=========================================================================
* Synthesis Options Summary *
=========================================================================
---- Source Parameters
Input File Name : "counta.prj"
Input Format : mixed
Ignore Synthesis Constraint File : NO
---- Target Parameters
Output File Name : "counta"
Output Format : NGC
Target Device : XC9500XL CPLDs
---- Source Options
Top Module Name : counta
Automatic FSM Extraction : YES
FSM Encoding Algorithm : Auto
Safe Implementation : No
Mux Extraction : Yes
Resource Sharing : YES
---- Target Options
Add IO Buffers : YES
MACRO Preserve : YES
XOR Preserve : YES
Equivalent register Removal : YES
---- General Options
Optimization Goal : Speed
Optimization Effort : 1
Keep Hierarchy : Yes
Netlist Hierarchy : As_Optimized
RTL Output : Yes
Hierarchy Separator : /
Bus Delimiter : <>
Case Specifier : Maintain
Verilog 2001 : YES
---- Other Options
Clock Enable : YES
wysiwyg : NO
=========================================================================
=========================================================================
* HDL Compilation *
=========================================================================
Compiling vhdl file "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.vhd" in Library work.
Entity <counta> compiled.
Entity <counta> (Architecture <behavioral>) compiled.
=========================================================================
* Design Hierarchy Analysis *
=========================================================================
Analyzing hierarchy for entity <counta> in library <work> (architecture <behavioral>).
=========================================================================
* HDL Analysis *
=========================================================================
Analyzing Entity <counta> in library <work> (Architecture <behavioral>).
Entity <counta> analyzed. Unit <counta> generated.
=========================================================================
* HDL Synthesis *
=========================================================================
Performing bidirectional port resolution...
Synthesizing Unit <counta>.
Related source file is "/home/dev/Desktop/code/xilinx/file/cpld_countertest9/counta.vhd".
WARNING:Xst:1780 - Signal <ORvalforstore> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
Found 1-bit register for signal <alreadystoredcnt<0>>.
Found 13-bit up counter for signal <clkcounta>.
Found 1-bit register for signal <resetclk<0>>.
Found 19-bit register for signal <storecounta>.
Found 5-bit up counter for signal <uartctr>.
Found 1-bit register for signal <uartnow<0>>.
Found 1-bit register for signal <uartskip<0>>.
Found 1-bit register for signal <waitnow<0>>.
Summary:
inferred 2 Counter(s).
inferred 22 D-type flip-flop(s).
Unit <counta> synthesized.
=========================================================================
HDL Synthesis Report
Macro Statistics
# Counters : 2
13-bit up counter : 1
5-bit up counter : 1
# Registers : 24
1-bit register : 24
=========================================================================
=========================================================================
* Advanced HDL Synthesis *
=========================================================================
=========================================================================
Advanced HDL Synthesis Report
Macro Statistics
# Counters : 2
13-bit up counter : 1
5-bit up counter : 1
# Registers : 22
Flip-Flops : 22
=========================================================================
=========================================================================
* Low Level Synthesis *
=========================================================================
Optimizing unit <counta> ...
implementation constraint: INIT=r : storecounta_10
implementation constraint: INIT=r : storecounta_0
implementation constraint: INIT=r : waitnow_0
implementation constraint: INIT=r : storecounta_11
implementation constraint: INIT=r : storecounta_1
implementation constraint: INIT=r : storecounta_12
implementation constraint: INIT=r : storecounta_2
implementation constraint: INIT=r : storecounta_13
implementation constraint: INIT=r : storecounta_3
implementation constraint: INIT=r : storecounta_14
implementation constraint: INIT=r : storecounta_4
implementation constraint: INIT=r : storecounta_15
implementation constraint: INIT=r : storecounta_5
implementation constraint: INIT=r : storecounta_16
implementation constraint: INIT=r : storecounta_6
implementation constraint: INIT=r : storecounta_17
implementation constraint: INIT=r : storecounta_7
implementation constraint: INIT=r : storecounta_18
implementation constraint: INIT=r : storecounta_8
implementation constraint: INIT=r : storecounta_9
implementation constraint: INIT=r : uartnow_0
implementation constraint: INIT=r : uartskip_0
implementation constraint: INIT=r : alreadystoredcnt_0
implementation constraint: INIT=r : uartctr_2
implementation constraint: INIT=r : resetclk_0
implementation constraint: INIT=r : clkcounta_12
implementation constraint: INIT=r : uartctr_3
implementation constraint: INIT=r : clkcounta_0
implementation constraint: INIT=r : clkcounta_1
implementation constraint: INIT=r : clkcounta_2
implementation constraint: INIT=r : clkcounta_3
implementation constraint: INIT=r : clkcounta_4
implementation constraint: INIT=r : clkcounta_5
implementation constraint: INIT=r : clkcounta_6
implementation constraint: INIT=r : clkcounta_7
implementation constraint: INIT=r : clkcounta_8
implementation constraint: INIT=r : clkcounta_9
implementation constraint: INIT=r : clkcounta_10
implementation constraint: INIT=r : clkcounta_11
implementation constraint: INIT=r : uartctr_4
implementation constraint: INIT=r : uartctr_0
implementation constraint: INIT=r : uartctr_1
=========================================================================
* Partition Report *
=========================================================================
Partition Implementation Status
-------------------------------
No Partitions were found in this design.
-------------------------------
=========================================================================
* Final Report *
=========================================================================
Final Results
RTL Top Level Output File Name : counta.ngr
Top Level Output File Name : counta
Output Format : NGC
Optimization Goal : Speed
Keep Hierarchy : Yes
Target Technology : XC9500XL CPLDs
Macro Preserve : YES
XOR Preserve : YES
Clock Enable : YES
wysiwyg : NO
Design Statistics
# IOs : 11
Cell Usage :
# BELS : 395
# AND2 : 131
# AND3 : 30
# AND4 : 13
# AND5 : 1
# GND : 1
# INV : 156
# OR2 : 45
# OR3 : 1
# OR4 : 1
# XOR2 : 16
# FlipFlops/Latches : 42
# FD : 13
# FDCE : 29
# IO Buffers : 11
# IBUF : 2
# OBUF : 9
=========================================================================
Total REAL time to Xst completion: 10.00 secs
Total CPU time to Xst completion: 10.28 secs
-->
Total memory usage is 165256 kilobytes
Number of errors : 0 ( 0 filtered)
Number of warnings : 1 ( 0 filtered)
Number of infos : 0 ( 0 filtered)

+ 151
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.vhd View File

@ -0,0 +1,151 @@
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
--xc9500xl has 5 volt tolerant inputs
entity counta is
--TODO: figure out how to get XSTALIN as GCK (see also fitter report (text))
PORT( XSTALIN : in STD_LOGIC; -- semi colons
HZIN : in STD_LOGIC; -- here
LED : out STD_LOGIC_VECTOR(7 downto 0); -- commas in instance
TX : out STD_LOGIC --last one, no semi colon
);
end counta;
architecture Behavioral of counta is
-- 2 to the power of 20 is about 1million
-- gives me 600KHz resolution. Good enough.
-- any more would run into limitations of cpld.
-- EDIT: ran into limits
-- now trying 12 + 1 bits, or about upper limit of 6,000
--signal
signal clkcounta : STD_LOGIC_VECTOR(12 DOWNTO 0) := (others => '0');
--signal testhzctr : STD_LOGIC_VECTOR(9 downto 0) := (others => '0');
signal storecounta : STD_LOGIC_VECTOR(18 DOWNTO 0) := (others => '0');
signal alreadystoredcnt : STD_LOGIC_VECTOR(0 downto 0) := "0";
signal uartnow : STD_LOGIC_VECTOR(0 downto 0) := "0";
signal uartctr : STD_LOGIC_VECTOR(4 downto 0) := "00000";
signal waitnow : STD_LOGIC_VECTOR(0 downto 0) := "0";
signal resetclk : STD_LOGIC_VECTOR(0 downto 0) := "0";
signal uartskip : STD_LOGIC_VECTOR(0 downto 0) := "0";
signal ORvalforstore : STD_LOGIC_VECTOR(2 downto 0) := "111";
begin
--outside of process
TX <= storecounta(0);
LED(5 downto 0) <= storecounta(12 downto 7);
LED(6) <= uartnow(0);
LED(7) <= waitnow(0);
--sanity check that leds / switch is working
--LED(7) <= switch;
mycounta: process (XSTALIN)
begin
if rising_edge(XSTALIN) then
clkcounta <= clkcounta + 1;
--testing clock
--EDIT: below not necessary, as register is already
-- wrapping around after getting to 255/256
-- if clkcounta > 250 then
-- clkcounta <= (others => '0');
--
-- end if;
--MAIN
--shift value out via uart
--(because this is a 6KHz clock, won't be too fast)
if uartnow(0) = '1' then
storecounta <= '1' & storecounta(18 downto 1); --should be down to 1, not 0
--NOTE: because this goes down to 1, 0 is always low
--which in uart would be start bit, I THINK........
end if;
--upon 1hz trigger, and not stored val yet
-- (1hz trigger, is 1hz square wave)
if HZIN = '1' and alreadystoredcnt(0) = '0' then
--store clk val in register
storecounta(15 downto 3) <= clkcounta;
--don't store it again
alreadystoredcnt(0) <= '1';
--reset counter
resetclk(0) <= '1';
--remember, everything in if statement happens all at once
elsif resetclk(0) = '1' then
clkcounta <= (others => '0');
resetclk(0) <= '0';
--frame bits to identify where i am
storecounta(18) <= '0';
storecounta(17) <= '0';
storecounta(16) <= '1';
--data goes from 3 - 15, 3,4,5,6,7, 8,9,10,11,12, 13,14,15
--12+1 bits
storecounta(0) <= '0';
storecounta(1) <= '0';
storecounta(2) <= '1';
elsif alreadystoredcnt(0) = '1' and waitnow(0) = '0' and uartskip(0) = '0' then
--enable uart
uartnow(0) <= '1';
--without this skip, otherwise we get stuck here
uartskip(0) <= '1';
elsif uartnow(0) = '1' and uartctr(4 downto 0) = "11111" then
--disable uart
uartnow(0) <= '0';
uartctr <= (others => '0');
--don't do this and don't enable uart above, and don't count
waitnow(0) <= '1';
elsif alreadystoredcnt(0) = '1' and waitnow(0) = '0' and uartskip(0) = '1' and uartnow(0) = '1'then
uartctr <= uartctr + 1;
--this must be after the above, otherwise we get stuck in it
elsif HZIN = '0' and alreadystoredcnt(0) = '1' and waitnow(0) = '1' and uartskip(0) = '1' and uartnow(0) = '0' then
--reset storedcounter
alreadystoredcnt(0) <= '0';
--only do this once
storecounta <= (others => '1'); --idle high in uart
waitnow(0) <= '0';
uartskip(0) <= '0';
end if;
--using 6MHz clk
--count as far as possible, every 1 second pulse
--from 60hz divider
--at pulse, display count, then start over
-- if HZIN = '1' and hzinhighflag(0) = '0' then
--display value on leds
--todo
--start counter over
-- clkcounta <= (others => '0');
--don't do anything until hz is low
-- hzinhighflag(0) <= '1';
--end if;
--end if;
--EDIT: cpld has limitations, therefore lowering register sizes
end if; -- main rising clk process end
end process;
end Behavioral;

+ 27
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.vhi View File

@ -0,0 +1,27 @@
-- VHDL Instantiation Created from source file counta.vhd -- 01:07:15 07/14/2020
--
-- Notes:
-- 1) This instantiation template has been automatically generated using types
-- std_logic and std_logic_vector for the ports of the instantiated module
-- 2) To use this template to instantiate this entity, cut-and-paste and then edit
COMPONENT counta
PORT(
XSTALIN : IN std_logic;
HZIN : IN std_logic;
SWITCH : IN std_logic;
LED : OUT std_logic_vector(7 downto 0);
TX : OUT std_logic
);
END COMPONENT;
Inst_counta: counta PORT MAP(
XSTALIN => ,
HZIN => ,
SWITCH => ,
LED => ,
TX =>
);

+ 3484
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.vm6
File diff suppressed because it is too large
View File


+ 3
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.xml
File diff suppressed because it is too large
View File


+ 29
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta.xst View File

@ -0,0 +1,29 @@
set -tmpdir "xst/projnav.tmp"
set -xsthdpdir "xst"
run
-ifn counta.prj
-ifmt mixed
-ofn counta
-ofmt NGC
-p xc9500xl
-top counta
-opt_mode Speed
-opt_level 1
-iuc NO
-keep_hierarchy Yes
-netlist_hierarchy As_Optimized
-rtlview Yes
-hierarchy_separator /
-bus_delimiter <>
-case Maintain
-verilog2001 YES
-fsm_extract YES -fsm_encoding Auto
-safe_implementation No
-mux_extract Yes
-resource_sharing YES
-iobuf YES
-pld_mp YES
-pld_xp YES
-pld_ce YES
-wysiwyg NO
-equivalent_register_removal YES

+ 219
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_build.xml View File

@ -0,0 +1,219 @@
<?xml version='1.0' encoding='utf-8' ?>
<!DOCTYPE document SYSTEM 'file:////opt/Xilinx/14.7/ISE_DS/ISE/chipviewer/data/xsl/buildXML.dtd'>
<document>
<design name='counta'/>
<rptdir name='counta'/>
<xilinx path='/opt/Xilinx/14.7/ISE_DS/ISE:'/>
<projDir path='/home/dev/Desktop/code/xilinx/file/cpld_countertest9'/>
<xslDir path='chipviewer/data/xsl'/>
<fileDir path='/chipviewer/data/html'/>
<dataFile file='index.htm'/>
<dataFile file='report.htm'/>
<dataFile file='genmsg.htm'/>
<dataFile file='eqns.js'/>
<dataFile file='maplogic.js'/>
<dataFile file='fbs.js'/>
<dataFile file='pins.js'/>
<dataFile file='tooltips.js'/>
<dataFile file='errors.js'/>
<dataFile file='leftnav.js'/>
<dataFile file='paths.js'/>
<dataFile file='summary.js'/>
<dataFile file='topnav.js'/>
<dataFile file='applet.js'/>
<dataFile file='plugin.js'/>
<dataFile file='ns4plugin.js'/>
<dataFile file='equations.htm'/>
<dataFile file='result.htm'/>
<dataFile file='style.css'/>
<dataFile file='blank.gif'/>
<dataFile file='fbview.jpg'/>
<dataFile file='pinview.jpg'/>
<dataFile file='xc9500xl_logo.jpg'/>
<dataFile file='asciidoc.htm'/>
<dataFile file='equationsdoc.htm'/>
<dataFile file='errorsdoc.htm'/>
<dataFile file='failtabledoc.htm'/>
<dataFile file='fbs_FBdoc.htm'/>
<dataFile file='fbsdoc.htm'/>
<dataFile file='inputleftdoc.htm'/>
<dataFile file='logicleftdoc.htm'/>
<dataFile file='mapinputdoc.htm'/>
<dataFile file='maplogicdoc.htm'/>
<dataFile file='optionsdoc.htm'/>
<dataFile file='pinsdoc.htm'/>
<dataFile file='summarydoc.htm'/>
<dataFile file='check.htm'/>
<dataFile file='checkNS4.htm'/>
<dataFile file='appletref.htm'/>
<dataFile file='newappletref.htm'/>
<dataFile file='errors1.gif'/>
<dataFile file='errors2.gif'/>
<dataFile file='equations.gif'/>
<dataFile file='functionblock.gif'/>
<dataFile file='fb1.gif'/>
<dataFile file='legend.gif'/>
<dataFile file='macrocell.gif'/>
<dataFile file='fb.gif'/>
<dataFile file='pin.gif'/>
<dataFile file='pindiagram.gif'/>
<dataFile file='view.gif'/>
<dataFile file='xml8.jpg'/>
<dataFile file='xml7.jpg'/>
<dataFile file='xml6.jpg'/>
<dataFile file='xml5.jpg'/>
<xmlFileGroup id='1'>
<xmlFile file='counta.xml'>
<xslFile file='topnav.xsl'>
<output file='topnav.htm'/>
</xslFile>
<xslFile file='leftnav.xsl'>
<param name='result' value='1'/>
<output file='leftnav.htm'/>
</xslFile>
<xslFile file='print.xsl'>
<output file='ascii.tmp'/>
</xslFile>
<xslFile file='eqns.xsl'>
<output file='eqns.htm'/>
</xslFile>
<xslFile file='summary.xsl'>
<param name='sumOn' value='1'/>
<param name='optOn' value='0'/>
<param name='legendOn' value='0'/>
<output file='summary.htm'/>
</xslFile>
</xmlFile>
</xmlFileGroup>
<xmlFileGroup id='2'>
<xmlFile file='counta.xml'>
<xslFile file='summary.xsl'>
<param name='sumOn' value='0'/>
<param name='optOn' value='1'/>
<param name='legendOn' value='0'/>
<output file='options.htm'/>
</xslFile>
<xslFile file='printErrors.xsl'>
<output file='errs.htm'/>
</xslFile>
<xslFile file='failtable.xsl'>
<output file='failtable.htm'/>
</xslFile>
<xslFile file='logicleft.xsl'>
<output file='logicleft.htm'/>
</xslFile>
<xslFile file='inputleft.xsl'>
<output file='inputleft.htm'/>
</xslFile>
<xslFile file='printPins.xsl'>
<output file='pins.htm'/>
</xslFile>
<xslFile file='wait.xsl'>
<output file='wait.htm'/>
</xslFile>
<xslDebug file='printErrors.xsl'>
<output file='errors.htm'/>
</xslDebug>
<xslDebug file='printPins.xsl'>
<output file='pinout.htm'/>
</xslDebug>
<xslDebug file='printEqns.xsl'>
<output file='eqnout.htm'/>
</xslDebug>
<xslFile file='maplogic.xsl'>
<param name='sortType' value='0'/>
<param name='mapLog' value='1'/>
<param name='mapIn' value='0'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='maplogic_00.htm'/>
<param name='sortType' value='1'/>
<param name='mapLog' value='1'/>
<param name='mapIn' value='0'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='maplogic_01.htm'/>
<param name='sortType' value='2'/>
<param name='mapLog' value='1'/>
<param name='mapIn' value='0'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='maplogic_02.htm'/>
<param name='sortType' value='0'/>
<param name='mapLog' value='0'/>
<param name='mapIn' value='1'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='mapinput_00.htm'/>
<param name='sortType' value='1'/>
<param name='mapLog' value='0'/>
<param name='mapIn' value='1'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='mapinput_01.htm'/>
<param name='sortType' value='2'/>
<param name='mapLog' value='0'/>
<param name='mapIn' value='1'/>
<param name='unmapLog' value='0'/>
<param name='unmapIn' value='0'/>
<param name='legendOn' value='1'/>
<output file='mapinput_02.htm'/>
</xslFile>
<xslFile file='fbsum.xsl'>
<param name='legendOn' value='0'/>
<output file='fbs.htm'/>
</xslFile>
<xslFile file='fbs.xsl'>
<param name='fb' value='FB1'/>
<param name='type' value='1'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB1.htm'/>
<param name='fb' value='FB2'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB2.htm'/>
<param name='fb' value='FB3'/>
<param name='type' value='3'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB3.htm'/>
<param name='fb' value='FB4'/>
<param name='type' value='2'/>
<param name='legendOn' value='1'/>
<output file='fbs_FB4.htm'/>
</xslFile>
</xmlFile>
<xmlFile file='/opt/Xilinx/14.7/ISE_DS/ISE/chipviewer/data/xsl/pinlegend.xml'>
<xslFile file='legend.xsl'>
<param name='device' value='XC9572XL-5-VQ44'/>
<param name='verOn' value='0'/>
<param name='linkName' value='pinlegendV.htm'/>
<output file='pinlegend.htm'/>
<param name='device' value='XC9572XL-5-VQ44'/>
<param name='verOn' value='1'/>
<param name='linkName' value='pinlegend.htm'/>
<output file='pinlegendV.htm'/>
</xslFile>
</xmlFile>
<xmlFile file='/opt/Xilinx/14.7/ISE_DS/ISE/chipviewer/data/xsl/logiclegend.xml'>
<xslFile file='legend.xsl'>
<param name='device' value='XC9572XL-5-VQ44'/>
<param name='verOn' value='0'/>
<param name='linkName' value='logiclegendV.htm'/>
<output file='logiclegend.htm'/>
<param name='device' value='XC9572XL-5-VQ44'/>
<param name='verOn' value='1'/>
<param name='linkName' value='logiclegend.htm'/>
<output file='logiclegendV.htm'/>
</xslFile>
</xmlFile>
</xmlFileGroup>
<rptFile file='counta.rpt'/>
<timFile file='counta.tim'/>
<appFile/>
</document>

+ 229
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_envsettings.html View File

@ -0,0 +1,229 @@
<HTML><HEAD><TITLE>Xilinx System Settings Report</TITLE></HEAD>
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<center><big><big><b>System Settings</b></big></big></center><br>
<A NAME="Environment Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='3'><B> Environment Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Environment Variable</b></td>
<td><b>xst</b></td>
<td><b>ngdbuild</b></td>
</tr>
<tr>
<td>LD_LIBRARY_PATH</td>
<td>/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin</td>
<td>/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin</td>
</tr>
<tr>
<td>PATH</td>
<td>/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin:<br>/home/dev/bin:<br>/usr/local/bin:<br>/usr/bin:<br>/bin:<br>/usr/local/games:<br>/usr/games</td>
<td>/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin:<br>/home/dev/bin:<br>/usr/local/bin:<br>/usr/bin:<br>/bin:<br>/usr/local/games:<br>/usr/games</td>
</tr>
<tr>
<td>XILINX</td>
<td>/opt/Xilinx/14.7/ISE_DS/ISE/</td>
<td>/opt/Xilinx/14.7/ISE_DS/ISE/</td>
</tr>
</TABLE>
<A NAME="Synthesis Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Synthesis Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-ifn</td>
<td>&nbsp;</td>
<td>counta.prj</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-ifmt</td>
<td>&nbsp;</td>
<td>mixed</td>
<td>MIXED</td>
</tr>
<tr>
<td>-ofn</td>
<td>&nbsp;</td>
<td>counta</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-ofmt</td>
<td>&nbsp;</td>
<td>NGC</td>
<td>NGC</td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc9500xl</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-top</td>
<td>&nbsp;</td>
<td>counta</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-opt_mode</td>
<td>Optimization Goal</td>
<td>Speed</td>
<td>SPEED</td>
</tr>
<tr>
<td>-opt_level</td>
<td>Optimization Effort</td>
<td>1</td>
<td>1</td>
</tr>
<tr>
<td>-iuc</td>
<td>Use synthesis Constraints File</td>
<td>NO</td>
<td>NO</td>
</tr>
<tr>
<td>-keep_hierarchy</td>
<td>Keep Hierarchy</td>
<td>Yes</td>
<td>YES</td>
</tr>
<tr>
<td>-netlist_hierarchy</td>
<td>Netlist Hierarchy</td>
<td>As_Optimized</td>
<td>as_optimized</td>
</tr>
<tr>
<td>-rtlview</td>
<td>Generate RTL Schematic</td>
<td>Yes</td>
<td>NO</td>
</tr>
<tr>
<td>-bus_delimiter</td>
<td>Bus Delimiter</td>
<td>&lt;&gt;</td>
<td>&lt;&gt;</td>
</tr>
<tr>
<td>-verilog2001</td>
<td>Verilog 2001</td>
<td>YES</td>
<td>YES</td>
</tr>
<tr>
<td>-fsm_extract</td>
<td>&nbsp;</td>
<td>YES</td>
<td>YES</td>
</tr>
<tr>
<td>-fsm_encoding</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>AUTO</td>
</tr>
<tr>
<td>-safe_implementation</td>
<td>&nbsp;</td>
<td>No</td>
<td>NO</td>
</tr>
<tr>
<td>-resource_sharing</td>
<td>&nbsp;</td>
<td>YES</td>
<td>YES</td>
</tr>
<tr>
<td>-iobuf</td>
<td>&nbsp;</td>
<td>YES</td>
<td>YES</td>
</tr>
<tr>
<td>-equivalent_register_removal</td>
<td>&nbsp;</td>
<td>YES</td>
<td>YES</td>
</tr>
</TABLE>
<A NAME="Translation Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Translation Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-intstyle</td>
<td>&nbsp;</td>
<td>ise</td>
<td>None</td>
</tr>
<tr>
<td>-dd</td>
<td>&nbsp;</td>
<td>_ngo</td>
<td>None</td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc9572xl-VQ44-5</td>
<td>None</td>
</tr>
<tr>
<td>-uc</td>
<td>&nbsp;</td>
<td>constraints.ucf</td>
<td>None</td>
</tr>
</TABLE>
<A NAME="Operating System Information"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='3'><B> Operating System Information </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Operating System Information</b></td>
<td><b>xst</b></td>
<td><b>ngdbuild</b></td>
</tr>
<tr>
<td>CPU Architecture/Speed</td>
<td>Intel(R) Core(TM) Duo CPU T2400 @ 1.83GHz/1333.000 MHz</td>
<td>Intel(R) Core(TM) Duo CPU T2400 @ 1.83GHz/1333.000 MHz</td>
</tr>
<tr>
<td>Host</td>
<td>fpgamach</td>
<td>fpgamach</td>
</tr>
<tr>
<td>OS Name</td>
<td>Devuan</td>
<td>Devuan</td>
</tr>
<tr>
<td>OS Release</td>
<td>Devuan GNU/Linux ascii</td>
<td>Devuan GNU/Linux ascii</td>
</tr>
</TABLE>
</BODY> </HTML>

+ 128
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/applet.js View File

@ -0,0 +1,128 @@
var tmpStr = "";
var waitWin;
function openWait() {
waitWin = window.open("wait.htm", "wait",
"toolbar=no,location=no,"+
"directories=no,status=no,menubar=no,scrollbars=no,"+
"resizable=no,width=300,height=50" );
}
function closeWait() { if (waitWin) waitWin.close(); }
function setMsg(msg){
parent.leftnav.setAppletMsg( msg );
// now send it reload forces
// call to applet paint
location.reload();
}
function getMsg(){
return( parent.leftnav.getAppletMsg() );
}
function resetMsg(){ parent.leftnav.setAppletMsg(""); }
function printAppletPkg() {
if( isNS() ){
setMsg("cmd printPkg ");
}
else{
document.ChipViewerApplet.PrintPkg();
}
}
function showAppletGraphicMC(mc) {
if( isNS() ){
setMsg("cmd showMac " + mc);
}
else{
document.ChipViewerApplet.ShowMac(mc);
}
}
function ShowMC() { showAppletGraphicMC(tmpStr); }
function showAppletGraphicFB(fb) {
if( isNS() ){
setMsg("cmd showFB " + fb);
}
else{
document.ChipViewerApplet.ShowFB(fb);
}
}
function showAppletGraphicPin(pin) {
if( isNS() ){
setMsg("cmd showPin " + pin);
}
else{
document.ChipViewerApplet.ShowPin(pin);
}
}
function ShowFB() { showAppletGraphicFB(tmpStr); }
function isNS() {
return ((navigator.appName.indexOf("Netscape") >= 0) && (parseFloat(navigator.appVersion) < 5) ) ? true : false;
}
function isIE(){
var agt=navigator.userAgent.toLowerCase();
return( ( (agt.indexOf("msie") != -1) && (agt.indexOf("opera") == -1) ) ? true: false );
}
function waitUntilOK() {
if (!waitWin) openWait();
if (isNS()) {
if (document.ChipViewerApplet.isActive()) closeWait();
else settimeout("waitUntilOK()",100);
}
else {
if (document.ChipViewerApplet.readyState == 4) closeWait();
else settimeout("waitUntilOK()",100);
}
}
// check that the applet if file has been generated
// this can only be done if the applets been loaded.
function fileExists(fileName){
if( document.ChipViewerApplet.readyState != 4 ) {
window.alert("Navigation disabled until the applet is loaded." );
}
if( isIE() ){
if( parent.leftnav.getAppletPermission() == 1 ){
if( document.ChipViewerApplet.TestFileExists(fileName) == 1 ){
window.alert("file exist tests true" );
return( true );
}
}
else{
window.alert("file exist returns true no permission" );
return( true );
}
}
else{
return( true );
}
window.alert("file exist returns false" );
return( false );
}
function setPermission(){
if( isIE() ){
if( document.ChipViewerApplet.granted() ){
parent.leftnav.setAppletPermission();
}
}
else{
return( true );
}
}

+ 14
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/appletref.htm View File

@ -0,0 +1,14 @@
<html>
<head>
<title></title>
</head>
<frameset frameborder="NO" framespacing="0" border="0" rows="94,*,0,0" col="*">
<frame name="topnav" src="../tim/topnav.htm" scrolling="no" noresize marginwidth="0" marginheight="0">
<frameset frameborder="NO" framespacing="0" border="0" cols="125,*">
<frame name="leftnav" src="leftnav.htm" noresize marginwidth="0" marginheight="0">
<frame name="content" src="summary.htm">
</frameset>
<frame name="eqns" src="eqns.htm" scrolling="no">
</frameset>
</html>

+ 820
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ascii.htm View File

@ -0,0 +1,820 @@
<html><body>
<pre>
cpldfit: version P.20131013 Xilinx Inc.
Fitter Report
Design Name: counta Date: 8- 4-2020, 0:40AM
Device Used: XC9572XL-5-VQ44
Fitting Status: Successful
************************* Mapped Resource Summary **************************
Macrocells Product Terms Function Block Registers Pins
Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot
42 /72 ( 58%) 227 /360 ( 63%) 86 /216 ( 40%) 42 /72 ( 58%) 11 /34 ( 32%)
** Function Block Resources **
Function Mcells FB Inps Pterms IO
Block Used/Tot Used/Tot Used/Tot Used/Tot
FB1 7/18 25/54 47/90 7/ 9
FB2 11/18 22/54 57/90 0/ 9
FB3 18/18* 22/54 84/90 2/ 9
FB4 6/18 17/54 39/90 2/ 7
----- ----- ----- -----
42/72 86/216 227/360 11/34
* - Resource is exhausted
** Global Control Resources **
Global clock net(s) unused.
Global output enable net(s) unused.
Global set/reset net(s) unused.
** Pin Resources **
Signal Type Required Mapped | Pin Type Used Total
------------------------------------|------------------------------------
Input : 2 2 | I/O : 8 28
Output : 9 9 | GCK/IO : 3 3
Bidirectional : 0 0 | GTS/IO : 0 2
GCK : 0 0 | GSR/IO : 0 1
GTS : 0 0 |
GSR : 0 0 |
---- ----
Total 11 11
** Power Data **
There are 42 macrocells in high performance mode (MCHP).
There are 0 macrocells in low power mode (MCLP).
End of Mapped Resource Summary
************************** Errors and Warnings ***************************
WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will
use the default filename of 'counta.ise'.
************************* Summary of Mapped Logic ************************
** 9 Outputs **
Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init
Name Pts Inps No. Type Use Mode Rate State
LED<0> 7 10 FB1_6 41 I/O O STD FAST RESET
LED<1> 7 10 FB1_8 42 I/O O STD FAST RESET
LED<2> 7 10 FB1_9 43 GCK/I/O O STD FAST RESET
LED<3> 7 10 FB1_11 44 GCK/I/O O STD FAST RESET
LED<4> 7 10 FB1_14 1 GCK/I/O O STD FAST RESET
LED<5> 7 10 FB1_15 2 I/O O STD FAST RESET
LED<6> 5 12 FB1_17 3 I/O O STD FAST RESET
LED<7> 4 12 FB3_2 5 I/O O STD FAST RESET
TX 6 9 FB3_5 6 I/O O STD FAST RESET
** 33 Buried Nodes **
Signal Total Total Loc Pwr Reg Init
Name Pts Inps Mode State
clkcounta<9> 5 14 FB2_8 STD RESET
clkcounta<8> 5 13 FB2_9 STD RESET
clkcounta<7> 5 12 FB2_10 STD RESET
clkcounta<6> 5 11 FB2_11 STD RESET
clkcounta<5> 5 10 FB2_12 STD RESET
clkcounta<4> 5 9 FB2_13 STD RESET
clkcounta<3> 5 8 FB2_14 STD RESET
clkcounta<12> 5 17 FB2_15 STD RESET
clkcounta<11> 5 16 FB2_16 STD RESET
clkcounta<10> 5 15 FB2_17 STD RESET
storecounta<13> 7 10 FB2_18 STD RESET
alreadystoredcnt<0> 3 7 FB3_1 STD RESET
uartskip<0> 3 7 FB3_3 STD RESET
clkcounta<0> 3 5 FB3_4 STD RESET
uartctr<4> 4 12 FB3_6 STD RESET
uartctr<3> 4 12 FB3_7 STD RESET
uartctr<2> 4 12 FB3_8 STD RESET
uartctr<1> 4 12 FB3_9 STD RESET
uartctr<0> 4 12 FB3_10 STD RESET
clkcounta<2> 5 7 FB3_11 STD RESET
clkcounta<1> 5 6 FB3_12 STD RESET
storecounta<2> 6 9 FB3_13 STD RESET
storecounta<1> 6 9 FB3_14 STD RESET
resetclk<0> 2 3 FB3_15 STD RESET
storecounta<5> 7 10 FB3_16 STD RESET
storecounta<4> 7 10 FB3_17 STD RESET
storecounta<3> 7 10 FB3_18 STD RESET
storecounta<14> 7 10 FB4_1 STD RESET
storecounta<18> 6 8 FB4_13 STD RESET
storecounta<17> 6 9 FB4_14 STD RESET
storecounta<16> 6 9 FB4_15 STD RESET
storecounta<6> 7 10 FB4_17 STD RESET
storecounta<15> 7 10 FB4_18 STD RESET
** 2 Inputs **
Signal Loc Pin Pin Pin
Name No. Type Use
XSTALIN FB4_5 20 I/O I
HZIN FB4_8 21 I/O I
Legend:
Pin No. - ~ - User Assigned
************************** Function Block Details ************************
Legend:
Total Pt - Total product terms used by the macrocell signal
Imp Pt - Product terms imported from other macrocells
Exp Pt - Product terms exported to other macrocells
in direction shown
Unused Pt - Unused local product terms remaining in macrocell
Loc - Location where logic was mapped in device
Pin Type/Use - I - Input GCK - Global Clock
O - Output GTS - Global Output Enable
(b) - Buried macrocell GSR - Global Set/Reset
X - Signal used as input to the macrocell logic.
Pin No. - ~ - User Assigned
*********************************** FB1 ***********************************
Number of function block inputs used/remaining: 25/29
Number of signals used by logic mapping into function block: 25
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
(unused) 0 0 0 5 FB1_1 (b)
(unused) 0 0 0 5 FB1_2 39 I/O
(unused) 0 0 0 5 FB1_3 (b)
(unused) 0 0 0 5 FB1_4 (b)
(unused) 0 0 \/1 4 FB1_5 40 I/O (b)
LED<0> 7 2<- 0 0 FB1_6 41 I/O O
(unused) 0 0 /\1 4 FB1_7 (b) (b)
LED<1> 7 2<- 0 0 FB1_8 42 I/O O
LED<2> 7 4<- /\2 0 FB1_9 43 GCK/I/O O
(unused) 0 0 /\4 1 FB1_10 (b) (b)
LED<3> 7 2<- 0 0 FB1_11 44 GCK/I/O O
(unused) 0 0 /\2 3 FB1_12 (b) (b)
(unused) 0 0 \/2 3 FB1_13 (b) (b)
LED<4> 7 2<- 0 0 FB1_14 1 GCK/I/O O
LED<5> 7 2<- 0 0 FB1_15 2 I/O O
(unused) 0 0 /\2 3 FB1_16 (b) (b)
LED<6> 5 0 0 0 FB1_17 3 I/O O
(unused) 0 0 0 5 FB1_18 (b)
Signals Used by Logic in Function Block
1: HZIN 10: XSTALIN 18: resetclk<0>
2: LED<0> 11: alreadystoredcnt<0> 19: storecounta<13>
3: LED<1> 12: clkcounta<4> 20: uartctr<0>
4: LED<2> 13: clkcounta<5> 21: uartctr<1>
5: LED<3> 14: clkcounta<6> 22: uartctr<2>
6: LED<4> 15: clkcounta<7> 23: uartctr<3>
7: LED<5> 16: clkcounta<8> 24: uartctr<4>
8: LED<6> 17: clkcounta<9> 25: uartskip<0>
9: LED<7>
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
LED<0> XXX....XXXXX.....X......X............... 10
LED<1> X.XX...XXXX.X....X......X............... 10
LED<2> X..XX..XXXX..X...X......X............... 10
LED<3> X...XX.XXXX...X..X......X............... 10
LED<4> X....XXXXXX....X.X......X............... 10
LED<5> X.....XXXXX.....XXX.....X............... 10
LED<6> X......XXXX......X.XXXXXX............... 12
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB2 ***********************************
Number of function block inputs used/remaining: 22/32
Number of signals used by logic mapping into function block: 22
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
(unused) 0 0 /\2 3 FB2_1 (b) (b)
(unused) 0 0 0 5 FB2_2 29 I/O
(unused) 0 0 0 5 FB2_3 (b)
(unused) 0 0 0 5 FB2_4 (b)
(unused) 0 0 0 5 FB2_5 30 I/O
(unused) 0 0 0 5 FB2_6 31 I/O
(unused) 0 0 0 5 FB2_7 (b)
clkcounta<9> 5 0 0 0 FB2_8 32 I/O (b)
clkcounta<8> 5 0 0 0 FB2_9 33 GSR/I/O (b)
clkcounta<7> 5 0 0 0 FB2_10 (b) (b)
clkcounta<6> 5 0 0 0 FB2_11 34 GTS/I/O (b)
clkcounta<5> 5 0 0 0 FB2_12 (b) (b)
clkcounta<4> 5 0 0 0 FB2_13 (b) (b)
clkcounta<3> 5 0 0 0 FB2_14 36 GTS/I/O (b)
clkcounta<12> 5 0 0 0 FB2_15 37 I/O (b)
clkcounta<11> 5 0 0 0 FB2_16 (b) (b)
clkcounta<10> 5 0 0 0 FB2_17 38 I/O (b)
storecounta<13> 7 2<- 0 0 FB2_18 (b) (b)
Signals Used by Logic in Function Block
1: HZIN 9: clkcounta<12> 16: clkcounta<7>
2: LED<6> 10: clkcounta<1> 17: clkcounta<8>
3: LED<7> 11: clkcounta<2> 18: clkcounta<9>
4: XSTALIN 12: clkcounta<3> 19: resetclk<0>
5: alreadystoredcnt<0> 13: clkcounta<4> 20: storecounta<13>
6: clkcounta<0> 14: clkcounta<5> 21: storecounta<14>
7: clkcounta<10> 15: clkcounta<6> 22: uartskip<0>
8: clkcounta<11>
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
clkcounta<9> X..XXX...XXXXXXXXXX..................... 14
clkcounta<8> X..XXX...XXXXXXXX.X..................... 13
clkcounta<7> X..XXX...XXXXXXX..X..................... 12
clkcounta<6> X..XXX...XXXXXX...X..................... 11
clkcounta<5> X..XXX...XXXXX....X..................... 10
clkcounta<4> X..XXX...XXXX.....X..................... 9
clkcounta<3> X..XXX...XXX......X..................... 8
clkcounta<12> X..XXXXXXXXXXXXXXXX..................... 17
clkcounta<11> X..XXXXX.XXXXXXXXXX..................... 16
clkcounta<10> X..XXXX..XXXXXXXXXX..................... 15
storecounta<13> XXXXX.X...........XXXX.................. 10
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB3 ***********************************
Number of function block inputs used/remaining: 22/32
Number of signals used by logic mapping into function block: 22
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
alreadystoredcnt<0> 3 1<- /\3 0 FB3_1 (b) (b)
LED<7> 4 0 /\1 0 FB3_2 5 I/O O
uartskip<0> 3 0 0 2 FB3_3 (b) (b)
clkcounta<0> 3 0 \/1 1 FB3_4 (b) (b)
TX 6 1<- 0 0 FB3_5 6 I/O O
uartctr<4> 4 0 0 1 FB3_6 (b) (b)
uartctr<3> 4 0 0 1 FB3_7 (b) (b)
uartctr<2> 4 0 0 1 FB3_8 7 I/O (b)
uartctr<1> 4 0 \/1 0 FB3_9 8 I/O (b)
uartctr<0> 4 1<- \/2 0 FB3_10 (b) (b)
clkcounta<2> 5 2<- \/2 0 FB3_11 12 I/O (b)
clkcounta<1> 5 2<- \/2 0 FB3_12 (b) (b)
storecounta<2> 6 2<- \/1 0 FB3_13 (b) (b)
storecounta<1> 6 1<- 0 0 FB3_14 13 I/O (b)
resetclk<0> 2 0 \/3 0 FB3_15 14 I/O (b)
storecounta<5> 7 3<- \/1 0 FB3_16 18 I/O (b)
storecounta<4> 7 2<- 0 0 FB3_17 16 I/O (b)
storecounta<3> 7 3<- /\1 0 FB3_18 (b) (b)
Signals Used by Logic in Function Block
1: HZIN 9: clkcounta<2> 16: storecounta<6>
2: LED<6> 10: resetclk<0> 17: uartctr<0>
3: LED<7> 11: storecounta<1> 18: uartctr<1>
4: TX 12: storecounta<2> 19: uartctr<2>
5: XSTALIN 13: storecounta<3> 20: uartctr<3>
6: alreadystoredcnt<0> 14: storecounta<4> 21: uartctr<4>
7: clkcounta<0> 15: storecounta<5> 22: uartskip<0>
8: clkcounta<1>
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
alreadystoredcnt<0> XXX.XX...X...........X.................. 7
LED<7> XXX.XX...X......XXXXXX.................. 12
uartskip<0> XXX.XX...X...........X.................. 7
clkcounta<0> X...XXX..X.............................. 5
TX XXXXXX...XX..........X.................. 9
uartctr<4> XXX.XX...X......XXXXXX.................. 12
uartctr<3> XXX.XX...X......XXXXXX.................. 12
uartctr<2> XXX.XX...X......XXXXXX.................. 12
uartctr<1> XXX.XX...X......XXXXXX.................. 12
uartctr<0> XXX.XX...X......XXXXXX.................. 12
clkcounta<2> X...XXXXXX.............................. 7
clkcounta<1> X...XXXX.X.............................. 6
storecounta<2> XXX.XX...X.XX........X.................. 9
storecounta<1> XXX.XX...XXX.........X.................. 9
resetclk<0> X...XX.................................. 3
storecounta<5> XXX.XX..XX....XX.....X.................. 10
storecounta<4> XXX.XX.X.X...XX......X.................. 10
storecounta<3> XXX.XXX..X..XX.......X.................. 10
0----+----1----+----2----+----3----+----4
0 0 0 0
*********************************** FB4 ***********************************
Number of function block inputs used/remaining: 17/37
Number of signals used by logic mapping into function block: 17
Signal Total Imp Exp Unused Loc Pin Pin Pin
Name Pt Pt Pt Pt # Type Use
storecounta<14> 7 4<- /\2 0 FB4_1 (b) (b)
(unused) 0 0 /\4 1 FB4_2 19 I/O (b)
(unused) 0 0 0 5 FB4_3 (b)
(unused) 0 0 0 5 FB4_4 (b)
(unused) 0 0 0 5 FB4_5 20 I/O I
(unused) 0 0 0 5 FB4_6 (b)
(unused) 0 0 0 5 FB4_7 (b)
(unused) 0 0 0 5 FB4_8 21 I/O I
(unused) 0 0 0 5 FB4_9 (b)
(unused) 0 0 0 5 FB4_10 (b)
(unused) 0 0 0 5 FB4_11 22 I/O
(unused) 0 0 \/3 2 FB4_12 (b) (b)
storecounta<18> 6 3<- \/2 0 FB4_13 (b) (b)
storecounta<17> 6 2<- \/1 0 FB4_14 23 I/O (b)
storecounta<16> 6 1<- 0 0 FB4_15 27 I/O (b)
(unused) 0 0 \/2 3 FB4_16 (b) (b)
storecounta<6> 7 2<- 0 0 FB4_17 28 I/O (b)
storecounta<15> 7 2<- 0 0 FB4_18 (b) (b)
Signals Used by Logic in Function Block
1: HZIN 7: clkcounta<11> 13: storecounta<16>
2: LED<0> 8: clkcounta<12> 14: storecounta<17>
3: LED<6> 9: clkcounta<3> 15: storecounta<18>
4: LED<7> 10: resetclk<0> 16: storecounta<6>
5: XSTALIN 11: storecounta<14> 17: uartskip<0>
6: alreadystoredcnt<0> 12: storecounta<15>
Signal 1 2 3 4 FB
Name 0----+----0----+----0----+----0----+----0 Inputs
storecounta<14> X.XXXXX..XXX....X....................... 10
storecounta<18> X.XXXX...X....X.X....................... 8
storecounta<17> X.XXXX...X...XX.X....................... 9
storecounta<16> X.XXXX...X..XX..X....................... 9
storecounta<6> XXXXXX..XX.....XX....................... 10
storecounta<15> X.XXXX.X.X.XX...X....................... 10
0----+----1----+----2----+----3----+----4
0 0 0 0
******************************* Equations ********************************
********** Mapped Logic **********
FDCPE_LED0: FDCPE port map (LED(0),LED_D(0),XSTALIN,'0','0');
LED_D(0) <= ((NOT LED(6) AND LED(0) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(1) AND alreadystoredcnt(0))
OR (LED(6) AND LED(1) AND NOT HZIN)
OR (NOT LED(6) AND LED(0) AND alreadystoredcnt(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(4)));
FDCPE_LED1: FDCPE port map (LED(1),LED_D(1),XSTALIN,'0','0');
LED_D(1) <= ((NOT LED(6) AND LED(1) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(2) AND alreadystoredcnt(0))
OR (LED(6) AND LED(2) AND NOT HZIN)
OR (NOT LED(6) AND LED(1) AND alreadystoredcnt(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(5)));
FDCPE_LED2: FDCPE port map (LED(2),LED_D(2),XSTALIN,'0','0');
LED_D(2) <= ((NOT LED(6) AND LED(2) AND alreadystoredcnt(0))
OR (NOT LED(6) AND LED(2) AND NOT HZIN)
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(6))
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(3) AND alreadystoredcnt(0))
OR (LED(6) AND LED(3) AND NOT HZIN));
FDCPE_LED3: FDCPE port map (LED(3),LED_D(3),XSTALIN,'0','0');
LED_D(3) <= ((NOT LED(6) AND LED(3) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(4) AND alreadystoredcnt(0))
OR (LED(6) AND LED(4) AND NOT HZIN)
OR (NOT LED(6) AND LED(3) AND alreadystoredcnt(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(7)));
FDCPE_LED4: FDCPE port map (LED(4),LED_D(4),XSTALIN,'0','0');
LED_D(4) <= ((NOT LED(6) AND LED(4) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(5) AND alreadystoredcnt(0))
OR (LED(6) AND LED(5) AND NOT HZIN)
OR (NOT LED(6) AND LED(4) AND alreadystoredcnt(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(8)));
FDCPE_LED5: FDCPE port map (LED(5),LED_D(5),XSTALIN,'0','0');
LED_D(5) <= ((NOT LED(6) AND LED(5) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(13))
OR (LED(6) AND storecounta(13) AND NOT HZIN)
OR (NOT LED(6) AND LED(5) AND alreadystoredcnt(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(9)));
FTCPE_LED6: FTCPE port map (LED(6),LED_T(6),XSTALIN,'0','0');
LED_T(6) <= ((NOT LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND NOT uartskip(0))
OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (LED(6) AND alreadystoredcnt(0) AND NOT resetclk(0) AND
uartskip(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_LED7: FTCPE port map (LED(7),LED_T(7),XSTALIN,'0','0');
LED_T(7) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND
uartctr(2) AND uartctr(3) AND uartctr(4))
OR (NOT LED(7) AND LED(6) AND NOT alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4) AND NOT HZIN));
FDCPE_TX: FDCPE port map (TX,TX_D,XSTALIN,'0','0');
TX_D <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND NOT resetclk(0) AND storecounta(1))
OR (NOT LED(6) AND NOT resetclk(0) AND TX)
OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND
HZIN)
OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND HZIN AND TX));
FDCPE_alreadystoredcnt0: FDCPE port map (alreadystoredcnt(0),alreadystoredcnt_D(0),XSTALIN,'0','0');
alreadystoredcnt_D(0) <= ((LED(7) AND NOT LED(6) AND NOT resetclk(0) AND uartskip(0) AND
NOT HZIN)
OR (NOT alreadystoredcnt(0) AND NOT HZIN));
FDCPE_clkcounta0: FDCPE port map (clkcounta(0),clkcounta_D(0),XSTALIN,'0','0');
clkcounta_D(0) <= ((NOT resetclk(0) AND NOT clkcounta(0))
OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0)));
FDCPE_clkcounta1: FDCPE port map (clkcounta(1),clkcounta_D(1),XSTALIN,'0','0');
clkcounta_D(1) <= ((NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
NOT clkcounta(1))
OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0) AND
clkcounta(1))
OR (NOT resetclk(0) AND clkcounta(0) AND NOT clkcounta(1))
OR (NOT resetclk(0) AND NOT clkcounta(0) AND clkcounta(1)));
FTCPE_clkcounta2: FTCPE port map (clkcounta(2),clkcounta_T(2),XSTALIN,'0','0');
clkcounta_T(2) <= ((NOT resetclk(0) AND clkcounta(0) AND clkcounta(1))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1))
OR (alreadystoredcnt(0) AND resetclk(0) AND clkcounta(2))
OR (resetclk(0) AND NOT HZIN AND clkcounta(2)));
FTCPE_clkcounta3: FTCPE port map (clkcounta(3),clkcounta_T(3),XSTALIN,'0','0');
clkcounta_T(3) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(3))
OR (resetclk(0) AND NOT HZIN AND clkcounta(3))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2)));
FTCPE_clkcounta4: FTCPE port map (clkcounta(4),clkcounta_T(4),XSTALIN,'0','0');
clkcounta_T(4) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(4))
OR (resetclk(0) AND NOT HZIN AND clkcounta(4))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3)));
FTCPE_clkcounta5: FTCPE port map (clkcounta(5),clkcounta_T(5),XSTALIN,'0','0');
clkcounta_T(5) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(5))
OR (resetclk(0) AND NOT HZIN AND clkcounta(5))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4)));
FTCPE_clkcounta6: FTCPE port map (clkcounta(6),clkcounta_T(6),XSTALIN,'0','0');
clkcounta_T(6) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(6))
OR (resetclk(0) AND NOT HZIN AND clkcounta(6))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5)));
FTCPE_clkcounta7: FTCPE port map (clkcounta(7),clkcounta_T(7),XSTALIN,'0','0');
clkcounta_T(7) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(7))
OR (resetclk(0) AND NOT HZIN AND clkcounta(7))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
clkcounta(6))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5) AND clkcounta(6)));
FTCPE_clkcounta8: FTCPE port map (clkcounta(8),clkcounta_T(8),XSTALIN,'0','0');
clkcounta_T(8) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(8))
OR (resetclk(0) AND NOT HZIN AND clkcounta(8))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
clkcounta(6) AND clkcounta(7))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5) AND clkcounta(6) AND clkcounta(7)));
FTCPE_clkcounta9: FTCPE port map (clkcounta(9),clkcounta_T(9),XSTALIN,'0','0');
clkcounta_T(9) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(9))
OR (resetclk(0) AND NOT HZIN AND clkcounta(9))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
clkcounta(6) AND clkcounta(7) AND clkcounta(8))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8)));
FTCPE_clkcounta10: FTCPE port map (clkcounta(10),clkcounta_T(10),XSTALIN,'0','0');
clkcounta_T(10) <= ((alreadystoredcnt(0) AND resetclk(0) AND
clkcounta(10))
OR (resetclk(0) AND NOT HZIN AND clkcounta(10))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND clkcounta(9))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND
clkcounta(9)));
FTCPE_clkcounta11: FTCPE port map (clkcounta(11),clkcounta_T(11),XSTALIN,'0','0');
clkcounta_T(11) <= ((alreadystoredcnt(0) AND resetclk(0) AND
clkcounta(11))
OR (resetclk(0) AND NOT HZIN AND clkcounta(11))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND
clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND
clkcounta(9))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(10) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND
clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND
clkcounta(8) AND clkcounta(9)));
FTCPE_clkcounta12: FTCPE port map (clkcounta(12),clkcounta_T(12),XSTALIN,'0','0');
clkcounta_T(12) <= ((alreadystoredcnt(0) AND resetclk(0) AND
clkcounta(12))
OR (resetclk(0) AND NOT HZIN AND clkcounta(12))
OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND
clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND
clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND
clkcounta(8) AND clkcounta(9))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
clkcounta(10) AND clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND
clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND
clkcounta(7) AND clkcounta(8) AND clkcounta(9)));
FDCPE_resetclk0: FDCPE port map (resetclk(0),resetclk_D(0),XSTALIN,'0','0');
resetclk_D(0) <= (NOT alreadystoredcnt(0) AND HZIN);
FDCPE_storecounta1: FDCPE port map (storecounta(1),storecounta_D(1),XSTALIN,'0','0');
storecounta_D(1) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND NOT resetclk(0) AND storecounta(2))
OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(1))
OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(2) AND
HZIN)
OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND
HZIN));
FDCPE_storecounta2: FDCPE port map (storecounta(2),storecounta_D(2),XSTALIN,'0','0');
storecounta_D(2) <= ((NOT LED(6) AND storecounta(2))
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
uartskip(0) AND NOT HZIN)
OR (LED(6) AND storecounta(3))
OR (alreadystoredcnt(0) AND resetclk(0))
OR (resetclk(0) AND NOT HZIN));
FDCPE_storecounta3: FDCPE port map (storecounta(3),storecounta_D(3),XSTALIN,'0','0');
storecounta_D(3) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(3))
OR (NOT LED(6) AND storecounta(3) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(4))
OR (LED(6) AND storecounta(4) AND NOT HZIN)
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0)));
FDCPE_storecounta4: FDCPE port map (storecounta(4),storecounta_D(4),XSTALIN,'0','0');
storecounta_D(4) <= ((NOT LED(6) AND storecounta(4) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(5))
OR (LED(6) AND storecounta(5) AND NOT HZIN)
OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(4))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(1)));
FDCPE_storecounta5: FDCPE port map (storecounta(5),storecounta_D(5),XSTALIN,'0','0');
storecounta_D(5) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(5))
OR (NOT LED(6) AND storecounta(5) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(6))
OR (LED(6) AND storecounta(6) AND NOT HZIN)
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(2)));
FDCPE_storecounta6: FDCPE port map (storecounta(6),storecounta_D(6),XSTALIN,'0','0');
storecounta_D(6) <= ((NOT LED(6) AND storecounta(6) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND LED(0) AND alreadystoredcnt(0))
OR (LED(6) AND LED(0) AND NOT HZIN)
OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(6))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(3)));
FDCPE_storecounta13: FDCPE port map (storecounta(13),storecounta_D(13),XSTALIN,'0','0');
storecounta_D(13) <= ((NOT LED(6) AND storecounta(13) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(14))
OR (LED(6) AND storecounta(14) AND NOT HZIN)
OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(13))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(10)));
FDCPE_storecounta14: FDCPE port map (storecounta(14),storecounta_D(14),XSTALIN,'0','0');
storecounta_D(14) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(14))
OR (NOT LED(6) AND storecounta(14) AND NOT HZIN)
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(11))
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(15))
OR (LED(6) AND storecounta(15) AND NOT HZIN));
FDCPE_storecounta15: FDCPE port map (storecounta(15),storecounta_D(15),XSTALIN,'0','0');
storecounta_D(15) <= ((NOT LED(6) AND storecounta(15) AND NOT HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND alreadystoredcnt(0) AND storecounta(16))
OR (LED(6) AND storecounta(16) AND NOT HZIN)
OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(15))
OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(12)));
FDCPE_storecounta16: FDCPE port map (storecounta(16),storecounta_D(16),XSTALIN,'0','0');
storecounta_D(16) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
uartskip(0) AND NOT HZIN)
OR (LED(6) AND storecounta(17))
OR (NOT LED(6) AND storecounta(16))
OR (alreadystoredcnt(0) AND resetclk(0))
OR (resetclk(0) AND NOT HZIN));
FDCPE_storecounta17: FDCPE port map (storecounta(17),storecounta_D(17),XSTALIN,'0','0');
storecounta_D(17) <= ((NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(17) AND
HZIN)
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
OR (LED(6) AND NOT resetclk(0) AND storecounta(18))
OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(17))
OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(18) AND
HZIN));
FDCPE_storecounta18: FDCPE port map (storecounta(18),storecounta_D(18),XSTALIN,'0','0');
storecounta_D(18) <= ((LED(6) AND NOT alreadystoredcnt(0) AND HZIN)
OR (NOT alreadystoredcnt(0) AND storecounta(18) AND HZIN)
OR (LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND
uartskip(0) AND NOT HZIN)
OR (LED(6) AND NOT resetclk(0))
OR (NOT resetclk(0) AND storecounta(18)));
FTCPE_uartctr0: FTCPE port map (uartctr(0),uartctr_T(0),XSTALIN,'0','0');
uartctr_T(0) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_uartctr1: FTCPE port map (uartctr(1),uartctr_T(1),XSTALIN,'0','0');
uartctr_T(1) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND uartctr(0))
OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_uartctr2: FTCPE port map (uartctr(2),uartctr_T(2),XSTALIN,'0','0');
uartctr_T(2) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1))
OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_uartctr3: FTCPE port map (uartctr(3),uartctr_T(3),XSTALIN,'0','0');
uartctr_T(3) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND
uartctr(2))
OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_uartctr4: FTCPE port map (uartctr(4),uartctr_T(4),XSTALIN,'0','0');
uartctr_T(4) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
uartctr(3) AND uartctr(4))
OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND
uartctr(2) AND uartctr(3))
OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
uartctr(4) AND NOT HZIN));
FTCPE_uartskip0: FTCPE port map (uartskip(0),uartskip_T(0),XSTALIN,'0','0');
uartskip_T(0) <= ((NOT LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND
NOT uartskip(0))
OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
NOT resetclk(0) AND uartskip(0) AND NOT HZIN));
Register Legend:
FDCPE (Q,D,C,CLR,PRE,CE);
FTCPE (Q,D,C,CLR,PRE,CE);
LDCP (Q,D,G,CLR,PRE);
****************************** Device Pin Out *****************************
Device : XC9572XL-5-VQ44
--------------------------------
/44 43 42 41 40 39 38 37 36 35 34 \
| 1 33 |
| 2 32 |
| 3 31 |
| 4 30 |
| 5 XC9572XL-5-VQ44 29 |
| 6 28 |
| 7 27 |
| 8 26 |
| 9 25 |
| 10 24 |
| 11 23 |
\ 12 13 14 15 16 17 18 19 20 21 22 /
--------------------------------
Pin Signal Pin Signal
No. Name No. Name
1 LED<4> 23 KPR
2 LED<5> 24 TDO
3 LED<6> 25 GND
4 GND 26 VCC
5 LED<7> 27 KPR
6 TX 28 KPR
7 KPR 29 KPR
8 KPR 30 KPR
9 TDI 31 KPR
10 TMS 32 KPR
11 TCK 33 KPR
12 KPR 34 KPR
13 KPR 35 VCC
14 KPR 36 KPR
15 VCC 37 KPR
16 KPR 38 KPR
17 GND 39 KPR
18 KPR 40 KPR
19 KPR 41 LED<0>
20 XSTALIN 42 LED<1>
21 HZIN 43 LED<2>
22 KPR 44 LED<3>
Legend : NC = Not Connected, unbonded pin
PGND = Unused I/O configured as additional Ground pin
TIE = Unused I/O floating -- must tie to VCC, GND or other signal
KPR = Unused I/O with weak keeper (leave unconnected)
VCC = Dedicated Power Pin
GND = Dedicated Ground Pin
TDI = Test Data In, JTAG pin
TDO = Test Data Out, JTAG pin
TCK = Test Clock, JTAG pin
TMS = Test Mode Select, JTAG pin
PROHIBITED = User reserved pin
**************************** Compiler Options ****************************
Following is a list of all global compiler options used by the fitter run.
Device(s) Specified : xc9572xl-5-VQ44
Optimization Method : SPEED
Multi-Level Logic Optimization : ON
Ignore Timing Specifications : OFF
Default Register Power Up Value : LOW
Keep User Location Constraints : ON
What-You-See-Is-What-You-Get : OFF
Exhaustive Fitting : OFF
Keep Unused Inputs : OFF
Slew Rate : FAST
Power Mode : STD
Ground on Unused IOs : OFF
Set I/O Pin Termination : KEEPER
Global Clock Optimization : ON
Global Set/Reset Optimization : ON
Global Ouput Enable Optimization : ON
Input Limit : 54
Pterm Limit : 25
</pre>
<form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form>
</body></html>

+ 4
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/ascii.tmp View File

@ -0,0 +1,4 @@
<form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form>

+ 71
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/asciidoc.htm View File

@ -0,0 +1,71 @@
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
<html>
<!--(==============================================================)-->
<!--(Document created with RoboEditor. )============================-->
<!--(==============================================================)-->
<head>
<title>Text Report</title>
<!--(Meta)==========================================================-->
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
<meta name=generator-major-version content=0.1>
<meta name=generator-minor-version content=1>
<meta name=filetype content=kadov>
<meta name=filetype-version content=1>
<meta name=page-count content=1>
<meta name=layout-height content=375>
<meta name=layout-width content=798>
<meta name=date content="05 1, 2002 4:24:59 PM">
<style>
<!--
p.whs1 {font-family: arial, sans-serif; font-size: 10pt;}
--></style><script language="javascript" title="WebHelpInlineScript">
<!--
function reDo() {
if (innerWidth != origWidth || innerHeight != origHeight)
location.reload();
}
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
origWidth = innerWidth;
origHeight = innerHeight;
onresize = reDo;
}
//-->
</script><style>
<!--
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
p.WebHelpNavBar {text-align:right;}
-->
</style>
</head>
<!--(Body)==========================================================-->
<body>
<h1>Text Report</h1>
<p class="whs1">Selecting Text
Report from the left-hand frame will give you a printable text version
of the fitter report. <!--kadov_tag{{<spaces>}}-->&nbsp;<!--kadov_tag{{</spaces>}}-->It
contains sections similar to those of the XML report (a summary section,
errors and warnings, mapped logic, function blocks, function block details,
a text-graphical display of the pinout, and a summary of compiler options),
but it is not easily navigable. <!--kadov_tag{{<spaces>}}-->&nbsp;<!--kadov_tag{{</spaces>}}-->It
is best to use the text report only when you need to print out a hard
copy of the fitter results.</p>
</body>
</html>

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/backtop.jpg View File

Before After
Width: 68  |  Height: 21  |  Size: 1.6 KiB

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/beginstraight.gif View File

Before After
Width: 9  |  Height: 29  |  Size: 352 B

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/blank.gif View File

Before After
Width: 1  |  Height: 1  |  Size: 43 B

+ 1
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/blank.htm View File

@ -0,0 +1 @@
<html></html>

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/briefview.jpg View File

Before After
Width: 61  |  Height: 21  |  Size: 1.2 KiB

+ 9
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/check.htm View File

@ -0,0 +1,9 @@
<html>
<head>
<script src="XilinxD.js"> </script>
<script src="plugin.js"> </script>
</head>
<body onload="javascript:checkJre()" bgcolor="#ffffff" topmargin="0" leftmargin="0" marginheight="0" marginwidth="0" >
</body>
</html>

+ 9
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/checkNS4.htm View File

@ -0,0 +1,9 @@
<html>
<head>
<script src="xilinxD.js"> </script>
<script src="ns4plugin.js"> </script>
</head>
<body onload="javascript:checkJre()" bgcolor="#ffffff" topmargin="0" leftmargin="0" marginheight="0" marginwidth="0" >
</body>
</html>

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/contact.gif View File

Before After
Width: 63  |  Height: 29  |  Size: 741 B

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/coolrunnerII_logo.jpg View File

Before After
Width: 753  |  Height: 70  |  Size: 9.3 KiB

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/coolrunner_logo.jpg View File

Before After
Width: 753  |  Height: 70  |  Size: 11 KiB

+ 418
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/defeqns.htm View File

@ -0,0 +1,418 @@
<html><head><link type='text/css' href='style.css' rel='stylesheet'></head><body class='pgBgnd'>
<h3 align='center'>Equations</h3>
<table width='90%' align='center' border='1' cellpadding='0' cellspacing='0'>
<tr><td>
</td></tr><tr><td>
********** Mapped Logic **********
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
FDCPE_LED0: FDCPE port map (LED(0),LED_D(0),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_D(0) <= ((NOT LED(6) AND LED(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(1) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(1) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND LED(0) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(4)));
</td></tr><tr><td>
FDCPE_LED1: FDCPE port map (LED(1),LED_D(1),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_D(1) <= ((NOT LED(6) AND LED(1) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(2) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(2) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND LED(1) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(5)));
</td></tr><tr><td>
FDCPE_LED2: FDCPE port map (LED(2),LED_D(2),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_D(2) <= ((NOT LED(6) AND LED(2) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND LED(2) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(6))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(3) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(3) AND NOT HZIN));
</td></tr><tr><td>
FDCPE_LED3: FDCPE port map (LED(3),LED_D(3),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_D(3) <= ((NOT LED(6) AND LED(3) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(4) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(4) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND LED(3) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(7)));
</td></tr><tr><td>
FDCPE_LED4: FDCPE port map (LED(4),LED_D(4),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_D(4) <= ((NOT LED(6) AND LED(4) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(5) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(5) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND LED(4) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(8)));
</td></tr><tr><td>
FDCPE_LED5: FDCPE port map (LED(5),LED_D(5),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_D(5) <= ((NOT LED(6) AND LED(5) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND alreadystoredcnt(0) AND storecounta(13))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND storecounta(13) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND LED(5) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(9)));
</td></tr><tr><td>
FTCPE_LED6: FTCPE port map (LED(6),LED_T(6),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_T(6) <= ((NOT LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND NOT uartskip(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(3) AND uartctr(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND alreadystoredcnt(0) AND NOT resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartskip(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(3) AND uartctr(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(4) AND NOT HZIN));
</td></tr><tr><td>
FTCPE_LED7: FTCPE port map (LED(7),LED_T(7),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;LED_T(7) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(2) AND uartctr(3) AND uartctr(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(7) AND LED(6) AND NOT alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(3) AND uartctr(4) AND NOT HZIN));
</td></tr><tr><td>
FDCPE_TX: FDCPE port map (TX,TX_D,XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;TX_D <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT resetclk(0) AND storecounta(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND NOT resetclk(0) AND TX)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND HZIN AND TX));
</td></tr><tr><td>
FDCPE_alreadystoredcnt0: FDCPE port map (alreadystoredcnt(0),alreadystoredcnt_D(0),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;alreadystoredcnt_D(0) <= ((LED(7) AND NOT LED(6) AND NOT resetclk(0) AND uartskip(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND NOT HZIN));
</td></tr><tr><td>
FDCPE_clkcounta0: FDCPE port map (clkcounta(0),clkcounta_D(0),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_D(0) <= ((NOT resetclk(0) AND NOT clkcounta(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0)));
</td></tr><tr><td>
FDCPE_clkcounta1: FDCPE port map (clkcounta(1),clkcounta_D(1),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_D(1) <= ((NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT clkcounta(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND NOT clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND clkcounta(0) AND NOT clkcounta(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND NOT clkcounta(0) AND clkcounta(1)));
</td></tr><tr><td>
FTCPE_clkcounta2: FTCPE port map (clkcounta(2),clkcounta_T(2),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_T(2) <= ((NOT resetclk(0) AND clkcounta(0) AND clkcounta(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (alreadystoredcnt(0) AND resetclk(0) AND clkcounta(2))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN AND clkcounta(2)));
</td></tr><tr><td>
FTCPE_clkcounta3: FTCPE port map (clkcounta(3),clkcounta_T(3),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_T(3) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(3))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN AND clkcounta(3))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(2))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(1) AND clkcounta(2)));
</td></tr><tr><td>
FTCPE_clkcounta4: FTCPE port map (clkcounta(4),clkcounta_T(4),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_T(4) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN AND clkcounta(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(2) AND clkcounta(3))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(1) AND clkcounta(2) AND clkcounta(3)));
</td></tr><tr><td>
FTCPE_clkcounta5: FTCPE port map (clkcounta(5),clkcounta_T(5),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_T(5) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(5))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN AND clkcounta(5))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(2) AND clkcounta(3) AND clkcounta(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4)));
</td></tr><tr><td>
FTCPE_clkcounta6: FTCPE port map (clkcounta(6),clkcounta_T(6),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_T(6) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(6))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN AND clkcounta(6))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(5)));
</td></tr><tr><td>
FTCPE_clkcounta7: FTCPE port map (clkcounta(7),clkcounta_T(7),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_T(7) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN AND clkcounta(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(6))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(5) AND clkcounta(6)));
</td></tr><tr><td>
FTCPE_clkcounta8: FTCPE port map (clkcounta(8),clkcounta_T(8),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_T(8) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(8))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN AND clkcounta(8))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(6) AND clkcounta(7))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(5) AND clkcounta(6) AND clkcounta(7)));
</td></tr><tr><td>
FTCPE_clkcounta9: FTCPE port map (clkcounta(9),clkcounta_T(9),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_T(9) <= ((alreadystoredcnt(0) AND resetclk(0) AND clkcounta(9))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN AND clkcounta(9))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(6) AND clkcounta(7) AND clkcounta(8))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8)));
</td></tr><tr><td>
FTCPE_clkcounta10: FTCPE port map (clkcounta(10),clkcounta_T(10),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_T(10) <= ((alreadystoredcnt(0) AND resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(10))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN AND clkcounta(10))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND clkcounta(9))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(9)));
</td></tr><tr><td>
FTCPE_clkcounta11: FTCPE port map (clkcounta(11),clkcounta_T(11),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_T(11) <= ((alreadystoredcnt(0) AND resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(11))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN AND clkcounta(11))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND clkcounta(4) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND clkcounta(8) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(9))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(10) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(8) AND clkcounta(9)));
</td></tr><tr><td>
FTCPE_clkcounta12: FTCPE port map (clkcounta(12),clkcounta_T(12),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;clkcounta_T(12) <= ((alreadystoredcnt(0) AND resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(12))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN AND clkcounta(12))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND clkcounta(0) AND clkcounta(10) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND clkcounta(3) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND clkcounta(7) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(8) AND clkcounta(9))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(10) AND clkcounta(11) AND clkcounta(1) AND clkcounta(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(3) AND clkcounta(4) AND clkcounta(5) AND clkcounta(6) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clkcounta(7) AND clkcounta(8) AND clkcounta(9)));
</td></tr><tr><td>
FDCPE_resetclk0: FDCPE port map (resetclk(0),resetclk_D(0),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;resetclk_D(0) <= (NOT alreadystoredcnt(0) AND HZIN);
</td></tr><tr><td>
FDCPE_storecounta1: FDCPE port map (storecounta(1),storecounta_D(1),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(1) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT resetclk(0) AND storecounta(2))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; HZIN));
</td></tr><tr><td>
FDCPE_storecounta2: FDCPE port map (storecounta(2),storecounta_D(2),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(2) <= ((NOT LED(6) AND storecounta(2))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND storecounta(3))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (alreadystoredcnt(0) AND resetclk(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN));
</td></tr><tr><td>
FDCPE_storecounta3: FDCPE port map (storecounta(3),storecounta_D(3),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(3) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(3))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND storecounta(3) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND alreadystoredcnt(0) AND storecounta(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND storecounta(4) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(0)));
</td></tr><tr><td>
FDCPE_storecounta4: FDCPE port map (storecounta(4),storecounta_D(4),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(4) <= ((NOT LED(6) AND storecounta(4) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND alreadystoredcnt(0) AND storecounta(5))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND storecounta(5) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(1)));
</td></tr><tr><td>
FDCPE_storecounta5: FDCPE port map (storecounta(5),storecounta_D(5),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(5) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(5))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND storecounta(5) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND alreadystoredcnt(0) AND storecounta(6))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND storecounta(6) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(2)));
</td></tr><tr><td>
FDCPE_storecounta6: FDCPE port map (storecounta(6),storecounta_D(6),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(6) <= ((NOT LED(6) AND storecounta(6) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(0) AND alreadystoredcnt(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND LED(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(6))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(3)));
</td></tr><tr><td>
FDCPE_storecounta13: FDCPE port map (storecounta(13),storecounta_D(13),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(13) <= ((NOT LED(6) AND storecounta(13) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND alreadystoredcnt(0) AND storecounta(14))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND storecounta(14) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(13))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(10)));
</td></tr><tr><td>
FDCPE_storecounta14: FDCPE port map (storecounta(14),storecounta_D(14),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(14) <= ((NOT LED(6) AND alreadystoredcnt(0) AND storecounta(14))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND storecounta(14) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(11))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND alreadystoredcnt(0) AND storecounta(15))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND storecounta(15) AND NOT HZIN));
</td></tr><tr><td>
FDCPE_storecounta15: FDCPE port map (storecounta(15),storecounta_D(15),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(15) <= ((NOT LED(6) AND storecounta(15) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND alreadystoredcnt(0) AND storecounta(16))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND storecounta(16) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND alreadystoredcnt(0) AND storecounta(15))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND HZIN AND clkcounta(12)));
</td></tr><tr><td>
FDCPE_storecounta16: FDCPE port map (storecounta(16),storecounta_D(16),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(16) <= ((LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND storecounta(17))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND storecounta(16))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (alreadystoredcnt(0) AND resetclk(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (resetclk(0) AND NOT HZIN));
</td></tr><tr><td>
FDCPE_storecounta17: FDCPE port map (storecounta(17),storecounta_D(17),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(17) <= ((NOT LED(6) AND NOT alreadystoredcnt(0) AND storecounta(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT resetclk(0) AND storecounta(18))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(6) AND NOT resetclk(0) AND storecounta(17))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT alreadystoredcnt(0) AND storecounta(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; HZIN));
</td></tr><tr><td>
FDCPE_storecounta18: FDCPE port map (storecounta(18),storecounta_D(18),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;storecounta_D(18) <= ((LED(6) AND NOT alreadystoredcnt(0) AND HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT alreadystoredcnt(0) AND storecounta(18) AND HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartskip(0) AND NOT HZIN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT resetclk(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT resetclk(0) AND storecounta(18)));
</td></tr><tr><td>
FTCPE_uartctr0: FTCPE port map (uartctr(0),uartctr_T(0),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;uartctr_T(0) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(3) AND uartctr(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(4) AND NOT HZIN));
</td></tr><tr><td>
FTCPE_uartctr1: FTCPE port map (uartctr(1),uartctr_T(1),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;uartctr_T(1) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND uartctr(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(3) AND uartctr(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(4) AND NOT HZIN));
</td></tr><tr><td>
FTCPE_uartctr2: FTCPE port map (uartctr(2),uartctr_T(2),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;uartctr_T(2) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(3) AND uartctr(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(4) AND NOT HZIN));
</td></tr><tr><td>
FTCPE_uartctr3: FTCPE port map (uartctr(3),uartctr_T(3),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;uartctr_T(3) <= ((NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(2))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(3) AND uartctr(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(4) AND NOT HZIN));
</td></tr><tr><td>
FTCPE_uartctr4: FTCPE port map (uartctr(4),uartctr_T(4),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;uartctr_T(4) <= ((LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartctr(0) AND uartctr(1) AND uartctr(2) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(3) AND uartctr(4))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT LED(7) AND LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND uartctr(0) AND uartctr(1) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(2) AND uartctr(3))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(6) AND NOT alreadystoredcnt(0) AND NOT resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(0) AND uartctr(1) AND uartctr(2) AND uartctr(3) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; uartctr(4) AND NOT HZIN));
</td></tr><tr><td>
FTCPE_uartskip0: FTCPE port map (uartskip(0),uartskip_T(0),XSTALIN,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;uartskip_T(0) <= ((NOT LED(7) AND alreadystoredcnt(0) AND NOT resetclk(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT uartskip(0))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (LED(7) AND NOT LED(6) AND alreadystoredcnt(0) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT resetclk(0) AND uartskip(0) AND NOT HZIN));
</td></tr><tr><td>
Register Legend:
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; FDCPE (Q,D,C,CLR,PRE,CE);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; FTCPE (Q,D,C,CLR,PRE,CE);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; LDCP (Q,D,G,CLR,PRE);
</td></tr><tr><td>
</td></tr>
</table>
<form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form>
</body></html>

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/education.gif View File

Before After
Width: 75  |  Height: 29  |  Size: 816 B

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/endmkt.gif View File

Before After
Width: 90  |  Height: 29  |  Size: 1.5 KiB

+ 949
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/eqns.htm View File

@ -0,0 +1,949 @@
<html><head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="paths.js"></script><script src="eqns.js"></script><script>
var design = "counta";
var device = "XC9572XL";
signals = new Array("LED0_SPECSIG","LED1_SPECSIG","LED2_SPECSIG","LED3_SPECSIG","LED4_SPECSIG","LED5_SPECSIG","LED6_SPECSIG","LED7_SPECSIG","TX","alreadystoredcnt0_SPECSIG","clkcounta0_SPECSIG","clkcounta10_SPECSIG","clkcounta11_SPECSIG","clkcounta12_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG","clkcounta7_SPECSIG","clkcounta8_SPECSIG","clkcounta9_SPECSIG","resetclk0_SPECSIG","storecounta13_SPECSIG","storecounta14_SPECSIG","storecounta15_SPECSIG","storecounta16_SPECSIG","storecounta17_SPECSIG","storecounta18_SPECSIG","storecounta1_SPECSIG","storecounta2_SPECSIG","storecounta3_SPECSIG","storecounta4_SPECSIG","storecounta5_SPECSIG","storecounta6_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG","uartskip0_SPECSIG");
sigNegs = new Array("OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","ON","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF","OFF");
sigTypes = new Array("D","D","D","D","D","D","T","T","D","D","D","T","T","T","D","T","T","T","T","T","T","T","T","D","D","D","D","D","D","D","D","D","D","D","D","D","T","T","T","T","T","T");
specSig["b_SPECSIG"]=new Array("(b)");
specSig["LED0_SPECSIG"]=new Array("LED<0>");
specSig["LED1_SPECSIG"]=new Array("LED<1>");
specSig["LED2_SPECSIG"]=new Array("LED<2>");
specSig["LED3_SPECSIG"]=new Array("LED<3>");
specSig["LED4_SPECSIG"]=new Array("LED<4>");
specSig["LED5_SPECSIG"]=new Array("LED<5>");
specSig["LED6_SPECSIG"]=new Array("LED<6>");
specSig["clkcounta9_SPECSIG"]=new Array("clkcounta<9>");
specSig["clkcounta8_SPECSIG"]=new Array("clkcounta<8>");
specSig["clkcounta6_SPECSIG"]=new Array("clkcounta<6>");
specSig["clkcounta3_SPECSIG"]=new Array("clkcounta<3>");
specSig["clkcounta12_SPECSIG"]=new Array("clkcounta<12>");
specSig["clkcounta10_SPECSIG"]=new Array("clkcounta<10>");
specSig["LED7_SPECSIG"]=new Array("LED<7>");
specSig["uartctr2_SPECSIG"]=new Array("uartctr<2>");
specSig["uartctr1_SPECSIG"]=new Array("uartctr<1>");
specSig["clkcounta2_SPECSIG"]=new Array("clkcounta<2>");
specSig["storecounta1_SPECSIG"]=new Array("storecounta<1>");
specSig["resetclk0_SPECSIG"]=new Array("resetclk<0>");
specSig["storecounta5_SPECSIG"]=new Array("storecounta<5>");
specSig["storecounta4_SPECSIG"]=new Array("storecounta<4>");
specSig["storecounta17_SPECSIG"]=new Array("storecounta<17>");
specSig["storecounta16_SPECSIG"]=new Array("storecounta<16>");
specSig["storecounta6_SPECSIG"]=new Array("storecounta<6>");
specSig["alreadystoredcnt0_SPECSIG"]=new Array("alreadystoredcnt<0>");
specSig["clkcounta4_SPECSIG"]=new Array("clkcounta<4>");
specSig["clkcounta5_SPECSIG"]=new Array("clkcounta<5>");
specSig["clkcounta7_SPECSIG"]=new Array("clkcounta<7>");
specSig["storecounta13_SPECSIG"]=new Array("storecounta<13>");
specSig["uartctr0_SPECSIG"]=new Array("uartctr<0>");
specSig["uartctr3_SPECSIG"]=new Array("uartctr<3>");
specSig["uartctr4_SPECSIG"]=new Array("uartctr<4>");
specSig["uartskip0_SPECSIG"]=new Array("uartskip<0>");
specSig["clkcounta11_SPECSIG"]=new Array("clkcounta<11>");
specSig["clkcounta0_SPECSIG"]=new Array("clkcounta<0>");
specSig["clkcounta1_SPECSIG"]=new Array("clkcounta<1>");
specSig["storecounta14_SPECSIG"]=new Array("storecounta<14>");
specSig["storecounta2_SPECSIG"]=new Array("storecounta<2>");
specSig["storecounta3_SPECSIG"]=new Array("storecounta<3>");
specSig["storecounta18_SPECSIG"]=new Array("storecounta<18>");
specSig["storecounta15_SPECSIG"]=new Array("storecounta<15>");
pterms["FB1_5_1"]=new Array("/LED6_SPECSIG","LED0_SPECSIG","/HZIN");
pterms["FB1_6_1"]=new Array("LED6_SPECSIG","LED1_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB1_6_2"]=new Array("LED6_SPECSIG","LED1_SPECSIG","/HZIN");
pterms["FB1_6_3"]=new Array("/LED6_SPECSIG","LED0_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB1_6_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta4_SPECSIG");
pterms["FB1_6_5"]=new Array("XSTALIN");
pterms["FB1_7_1"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB1_8_1"]=new Array("LED6_SPECSIG","LED2_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB1_8_2"]=new Array("LED6_SPECSIG","LED2_SPECSIG","/HZIN");
pterms["FB1_8_3"]=new Array("/LED6_SPECSIG","LED1_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB1_8_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta5_SPECSIG");
pterms["FB1_8_5"]=new Array("XSTALIN");
pterms["FB1_9_1"]=new Array("LED6_SPECSIG","LED3_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB1_9_2"]=new Array("LED6_SPECSIG","LED3_SPECSIG","/HZIN");
pterms["FB1_9_3"]=new Array("XSTALIN");
pterms["FB1_9_4"]=new Array("/LED6_SPECSIG","LED1_SPECSIG","/HZIN");
pterms["FB1_9_5"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB1_10_1"]=new Array("/LED6_SPECSIG","LED2_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB1_10_2"]=new Array("/LED6_SPECSIG","LED2_SPECSIG","/HZIN");
pterms["FB1_10_3"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta6_SPECSIG");
pterms["FB1_10_4"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB1_11_1"]=new Array("LED6_SPECSIG","LED4_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB1_11_2"]=new Array("LED6_SPECSIG","LED4_SPECSIG","/HZIN");
pterms["FB1_11_3"]=new Array("/LED6_SPECSIG","LED3_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB1_11_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta7_SPECSIG");
pterms["FB1_11_5"]=new Array("XSTALIN");
pterms["FB1_12_1"]=new Array("/LED6_SPECSIG","LED3_SPECSIG","/HZIN");
pterms["FB1_12_2"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB1_13_1"]=new Array("/LED6_SPECSIG","LED4_SPECSIG","/HZIN");
pterms["FB1_13_2"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB1_14_1"]=new Array("LED6_SPECSIG","LED5_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB1_14_2"]=new Array("LED6_SPECSIG","LED5_SPECSIG","/HZIN");
pterms["FB1_14_3"]=new Array("/LED6_SPECSIG","LED4_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB1_14_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta8_SPECSIG");
pterms["FB1_14_5"]=new Array("XSTALIN");
pterms["FB1_15_1"]=new Array("LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta13_SPECSIG");
pterms["FB1_15_2"]=new Array("LED6_SPECSIG","storecounta13_SPECSIG","/HZIN");
pterms["FB1_15_3"]=new Array("/LED6_SPECSIG","LED5_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB1_15_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta9_SPECSIG");
pterms["FB1_15_5"]=new Array("XSTALIN");
pterms["FB1_16_1"]=new Array("/LED6_SPECSIG","LED5_SPECSIG","/HZIN");
pterms["FB1_16_2"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB1_17_1"]=new Array("/LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","/uartskip0_SPECSIG");
pterms["FB1_17_2"]=new Array("LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG");
pterms["FB1_17_3"]=new Array("LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG");
pterms["FB1_17_4"]=new Array("LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG","/HZIN");
pterms["FB1_17_5"]=new Array("XSTALIN");
pterms["FB2_1_1"]=new Array("/LED6_SPECSIG","storecounta13_SPECSIG","/HZIN");
pterms["FB2_1_2"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB2_8_1"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG","clkcounta9_SPECSIG");
pterms["FB2_8_2"]=new Array("resetclk0_SPECSIG","/HZIN","clkcounta9_SPECSIG");
pterms["FB2_8_3"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG","clkcounta7_SPECSIG","clkcounta8_SPECSIG");
pterms["FB2_8_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG","clkcounta7_SPECSIG","clkcounta8_SPECSIG");
pterms["FB2_8_5"]=new Array("XSTALIN");
pterms["FB2_9_1"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG","clkcounta8_SPECSIG");
pterms["FB2_9_2"]=new Array("resetclk0_SPECSIG","/HZIN","clkcounta8_SPECSIG");
pterms["FB2_9_3"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG","clkcounta7_SPECSIG");
pterms["FB2_9_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG","clkcounta7_SPECSIG");
pterms["FB2_9_5"]=new Array("XSTALIN");
pterms["FB2_10_1"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG","clkcounta7_SPECSIG");
pterms["FB2_10_2"]=new Array("resetclk0_SPECSIG","/HZIN","clkcounta7_SPECSIG");
pterms["FB2_10_3"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG");
pterms["FB2_10_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG");
pterms["FB2_10_5"]=new Array("XSTALIN");
pterms["FB2_11_1"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG","clkcounta6_SPECSIG");
pterms["FB2_11_2"]=new Array("resetclk0_SPECSIG","/HZIN","clkcounta6_SPECSIG");
pterms["FB2_11_3"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG");
pterms["FB2_11_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG");
pterms["FB2_11_5"]=new Array("XSTALIN");
pterms["FB2_12_1"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG","clkcounta5_SPECSIG");
pterms["FB2_12_2"]=new Array("resetclk0_SPECSIG","/HZIN","clkcounta5_SPECSIG");
pterms["FB2_12_3"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG");
pterms["FB2_12_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG");
pterms["FB2_12_5"]=new Array("XSTALIN");
pterms["FB2_13_1"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG","clkcounta4_SPECSIG");
pterms["FB2_13_2"]=new Array("resetclk0_SPECSIG","/HZIN","clkcounta4_SPECSIG");
pterms["FB2_13_3"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG");
pterms["FB2_13_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG");
pterms["FB2_13_5"]=new Array("XSTALIN");
pterms["FB2_14_1"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG","clkcounta3_SPECSIG");
pterms["FB2_14_2"]=new Array("resetclk0_SPECSIG","/HZIN","clkcounta3_SPECSIG");
pterms["FB2_14_3"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG");
pterms["FB2_14_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG");
pterms["FB2_14_5"]=new Array("XSTALIN");
pterms["FB2_15_1"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG","clkcounta12_SPECSIG");
pterms["FB2_15_2"]=new Array("resetclk0_SPECSIG","/HZIN","clkcounta12_SPECSIG");
pterms["FB2_15_3"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","clkcounta10_SPECSIG","clkcounta11_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG","clkcounta7_SPECSIG","clkcounta8_SPECSIG","clkcounta9_SPECSIG");
pterms["FB2_15_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","clkcounta10_SPECSIG","clkcounta11_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG","clkcounta7_SPECSIG","clkcounta8_SPECSIG","clkcounta9_SPECSIG");
pterms["FB2_15_5"]=new Array("XSTALIN");
pterms["FB2_16_1"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG","clkcounta11_SPECSIG");
pterms["FB2_16_2"]=new Array("resetclk0_SPECSIG","/HZIN","clkcounta11_SPECSIG");
pterms["FB2_16_3"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","clkcounta10_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG","clkcounta7_SPECSIG","clkcounta8_SPECSIG","clkcounta9_SPECSIG");
pterms["FB2_16_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","clkcounta10_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG","clkcounta7_SPECSIG","clkcounta8_SPECSIG","clkcounta9_SPECSIG");
pterms["FB2_16_5"]=new Array("XSTALIN");
pterms["FB2_17_1"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG","clkcounta10_SPECSIG");
pterms["FB2_17_2"]=new Array("resetclk0_SPECSIG","/HZIN","clkcounta10_SPECSIG");
pterms["FB2_17_3"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG","clkcounta7_SPECSIG","clkcounta8_SPECSIG","clkcounta9_SPECSIG");
pterms["FB2_17_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","clkcounta1_SPECSIG","clkcounta2_SPECSIG","clkcounta3_SPECSIG","clkcounta4_SPECSIG","clkcounta5_SPECSIG","clkcounta6_SPECSIG","clkcounta7_SPECSIG","clkcounta8_SPECSIG","clkcounta9_SPECSIG");
pterms["FB2_17_5"]=new Array("XSTALIN");
pterms["FB2_18_1"]=new Array("LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta14_SPECSIG");
pterms["FB2_18_2"]=new Array("LED6_SPECSIG","storecounta14_SPECSIG","/HZIN");
pterms["FB2_18_3"]=new Array("/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta13_SPECSIG");
pterms["FB2_18_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta10_SPECSIG");
pterms["FB2_18_5"]=new Array("XSTALIN");
pterms["FB3_1_1"]=new Array("/alreadystoredcnt0_SPECSIG","/HZIN");
pterms["FB3_1_2"]=new Array("XSTALIN");
pterms["FB3_1_3"]=new Array("/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta3_SPECSIG");
pterms["FB3_1_4"]=new Array("/LED6_SPECSIG","storecounta3_SPECSIG","/HZIN");
pterms["FB3_1_5"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB3_2_1"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB3_2_2"]=new Array("/LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG");
pterms["FB3_2_3"]=new Array("/LED7_SPECSIG","LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG","/HZIN");
pterms["FB3_2_4"]=new Array("XSTALIN");
pterms["FB3_2_5"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB3_3_1"]=new Array("/LED7_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","/uartskip0_SPECSIG");
pterms["FB3_3_2"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB3_3_3"]=new Array("XSTALIN");
pterms["FB3_4_1"]=new Array("/resetclk0_SPECSIG","/clkcounta0_SPECSIG");
pterms["FB3_4_2"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","/clkcounta0_SPECSIG");
pterms["FB3_4_3"]=new Array("XSTALIN");
pterms["FB3_4_4"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB3_5_1"]=new Array("LED6_SPECSIG","/resetclk0_SPECSIG","storecounta1_SPECSIG");
pterms["FB3_5_2"]=new Array("/LED6_SPECSIG","/resetclk0_SPECSIG","TX");
pterms["FB3_5_3"]=new Array("LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","storecounta1_SPECSIG","HZIN");
pterms["FB3_5_4"]=new Array("/LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","HZIN","TX");
pterms["FB3_5_5"]=new Array("XSTALIN");
pterms["FB3_6_1"]=new Array("LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG");
pterms["FB3_6_2"]=new Array("/LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG");
pterms["FB3_6_3"]=new Array("LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG","/HZIN");
pterms["FB3_6_4"]=new Array("XSTALIN");
pterms["FB3_7_1"]=new Array("/LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG");
pterms["FB3_7_2"]=new Array("LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG");
pterms["FB3_7_3"]=new Array("LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG","/HZIN");
pterms["FB3_7_4"]=new Array("XSTALIN");
pterms["FB3_8_1"]=new Array("/LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG");
pterms["FB3_8_2"]=new Array("LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG");
pterms["FB3_8_3"]=new Array("LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG","/HZIN");
pterms["FB3_8_4"]=new Array("XSTALIN");
pterms["FB3_9_1"]=new Array("/LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","uartctr0_SPECSIG");
pterms["FB3_9_2"]=new Array("LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG");
pterms["FB3_9_3"]=new Array("LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG","/HZIN");
pterms["FB3_9_4"]=new Array("XSTALIN");
pterms["FB3_9_5"]=new Array("LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG");
pterms["FB3_10_1"]=new Array("/LED7_SPECSIG","LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG");
pterms["FB3_10_2"]=new Array("LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartctr0_SPECSIG","uartctr1_SPECSIG","uartctr2_SPECSIG","uartctr3_SPECSIG","uartctr4_SPECSIG","/HZIN");
pterms["FB3_10_3"]=new Array("XSTALIN");
pterms["FB3_10_4"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","clkcounta1_SPECSIG");
pterms["FB3_10_5"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","clkcounta1_SPECSIG");
pterms["FB3_11_1"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG","clkcounta2_SPECSIG");
pterms["FB3_11_2"]=new Array("resetclk0_SPECSIG","/HZIN","clkcounta2_SPECSIG");
pterms["FB3_11_3"]=new Array("XSTALIN");
pterms["FB3_11_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG","/clkcounta1_SPECSIG");
pterms["FB3_11_5"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","/clkcounta0_SPECSIG","clkcounta1_SPECSIG");
pterms["FB3_12_1"]=new Array("/resetclk0_SPECSIG","clkcounta0_SPECSIG","/clkcounta1_SPECSIG");
pterms["FB3_12_2"]=new Array("/resetclk0_SPECSIG","/clkcounta0_SPECSIG","clkcounta1_SPECSIG");
pterms["FB3_12_3"]=new Array("XSTALIN");
pterms["FB3_12_4"]=new Array("/LED6_SPECSIG","storecounta2_SPECSIG");
pterms["FB3_12_5"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB3_13_1"]=new Array("LED6_SPECSIG","storecounta3_SPECSIG");
pterms["FB3_13_2"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG");
pterms["FB3_13_3"]=new Array("resetclk0_SPECSIG","/HZIN");
pterms["FB3_13_4"]=new Array("XSTALIN");
pterms["FB3_13_5"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB3_14_1"]=new Array("LED6_SPECSIG","/resetclk0_SPECSIG","storecounta2_SPECSIG");
pterms["FB3_14_2"]=new Array("/LED6_SPECSIG","/resetclk0_SPECSIG","storecounta1_SPECSIG");
pterms["FB3_14_3"]=new Array("LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","storecounta2_SPECSIG","HZIN");
pterms["FB3_14_4"]=new Array("/LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","storecounta1_SPECSIG","HZIN");
pterms["FB3_14_5"]=new Array("XSTALIN");
pterms["FB3_15_1"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN");
pterms["FB3_15_2"]=new Array("XSTALIN");
pterms["FB3_15_3"]=new Array("/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta5_SPECSIG");
pterms["FB3_15_4"]=new Array("/LED6_SPECSIG","storecounta5_SPECSIG","/HZIN");
pterms["FB3_15_5"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB3_16_1"]=new Array("LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta6_SPECSIG");
pterms["FB3_16_2"]=new Array("LED6_SPECSIG","storecounta6_SPECSIG","/HZIN");
pterms["FB3_16_3"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta2_SPECSIG");
pterms["FB3_16_4"]=new Array("XSTALIN");
pterms["FB3_16_5"]=new Array("/LED6_SPECSIG","storecounta4_SPECSIG","/HZIN");
pterms["FB3_17_1"]=new Array("LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta5_SPECSIG");
pterms["FB3_17_2"]=new Array("LED6_SPECSIG","storecounta5_SPECSIG","/HZIN");
pterms["FB3_17_3"]=new Array("/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta4_SPECSIG");
pterms["FB3_17_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta1_SPECSIG");
pterms["FB3_17_5"]=new Array("XSTALIN");
pterms["FB3_18_1"]=new Array("LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta4_SPECSIG");
pterms["FB3_18_2"]=new Array("LED6_SPECSIG","storecounta4_SPECSIG","/HZIN");
pterms["FB3_18_3"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta0_SPECSIG");
pterms["FB3_18_4"]=new Array("XSTALIN");
pterms["FB3_18_5"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB4_1_1"]=new Array("LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta15_SPECSIG");
pterms["FB4_1_2"]=new Array("LED6_SPECSIG","storecounta15_SPECSIG","/HZIN");
pterms["FB4_1_3"]=new Array("XSTALIN");
pterms["FB4_1_4"]=new Array("/LED6_SPECSIG","storecounta15_SPECSIG","/HZIN");
pterms["FB4_1_5"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB4_2_1"]=new Array("/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta14_SPECSIG");
pterms["FB4_2_2"]=new Array("/LED6_SPECSIG","storecounta14_SPECSIG","/HZIN");
pterms["FB4_2_3"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta11_SPECSIG");
pterms["FB4_2_4"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB4_12_1"]=new Array("LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","HZIN");
pterms["FB4_12_2"]=new Array("/alreadystoredcnt0_SPECSIG","storecounta18_SPECSIG","HZIN");
pterms["FB4_12_3"]=new Array("LED7_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB4_13_1"]=new Array("LED6_SPECSIG","/resetclk0_SPECSIG");
pterms["FB4_13_2"]=new Array("/resetclk0_SPECSIG","storecounta18_SPECSIG");
pterms["FB4_13_3"]=new Array("XSTALIN");
pterms["FB4_13_4"]=new Array("/LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","storecounta17_SPECSIG","HZIN");
pterms["FB4_13_5"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB4_14_1"]=new Array("LED6_SPECSIG","/resetclk0_SPECSIG","storecounta18_SPECSIG");
pterms["FB4_14_2"]=new Array("/LED6_SPECSIG","/resetclk0_SPECSIG","storecounta17_SPECSIG");
pterms["FB4_14_3"]=new Array("LED6_SPECSIG","/alreadystoredcnt0_SPECSIG","storecounta18_SPECSIG","HZIN");
pterms["FB4_14_4"]=new Array("XSTALIN");
pterms["FB4_14_5"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB4_15_1"]=new Array("LED6_SPECSIG","storecounta17_SPECSIG");
pterms["FB4_15_2"]=new Array("/LED6_SPECSIG","storecounta16_SPECSIG");
pterms["FB4_15_3"]=new Array("alreadystoredcnt0_SPECSIG","resetclk0_SPECSIG");
pterms["FB4_15_4"]=new Array("resetclk0_SPECSIG","/HZIN");
pterms["FB4_15_5"]=new Array("XSTALIN");
pterms["FB4_16_1"]=new Array("/LED6_SPECSIG","storecounta6_SPECSIG","/HZIN");
pterms["FB4_16_2"]=new Array("LED7_SPECSIG","/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","/resetclk0_SPECSIG","uartskip0_SPECSIG","/HZIN");
pterms["FB4_17_1"]=new Array("LED6_SPECSIG","LED0_SPECSIG","alreadystoredcnt0_SPECSIG");
pterms["FB4_17_2"]=new Array("LED6_SPECSIG","LED0_SPECSIG","/HZIN");
pterms["FB4_17_3"]=new Array("/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta6_SPECSIG");
pterms["FB4_17_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta3_SPECSIG");
pterms["FB4_17_5"]=new Array("XSTALIN");
pterms["FB4_18_1"]=new Array("LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta16_SPECSIG");
pterms["FB4_18_2"]=new Array("LED6_SPECSIG","storecounta16_SPECSIG","/HZIN");
pterms["FB4_18_3"]=new Array("/LED6_SPECSIG","alreadystoredcnt0_SPECSIG","storecounta15_SPECSIG");
pterms["FB4_18_4"]=new Array("/alreadystoredcnt0_SPECSIG","HZIN","clkcounta12_SPECSIG");
pterms["FB4_18_5"]=new Array("XSTALIN");
d2["LED0_SPECSIG"]=new Array("FB1_6_1","FB1_6_2","FB1_6_3","FB1_6_4","FB1_5_1","FB1_7_1");
d2imp["LED0_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["LED0_SPECSIG"]=new Array("FB1_6_5");
clkimp["LED0_SPECSIG"]=new Array("1");
prld["LED0_SPECSIG"]="GND";
d2["LED1_SPECSIG"]=new Array("FB1_8_1","FB1_8_2","FB1_8_3","FB1_8_4","FB1_9_4","FB1_9_5");
d2imp["LED1_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["LED1_SPECSIG"]=new Array("FB1_8_5");
clkimp["LED1_SPECSIG"]=new Array("1");
prld["LED1_SPECSIG"]="GND";
d2["LED2_SPECSIG"]=new Array("FB1_9_1","FB1_9_2","FB1_10_1","FB1_10_2","FB1_10_3","FB1_10_4");
d2imp["LED2_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["LED2_SPECSIG"]=new Array("FB1_9_3");
clkimp["LED2_SPECSIG"]=new Array("1");
prld["LED2_SPECSIG"]="GND";
d2["LED3_SPECSIG"]=new Array("FB1_11_1","FB1_11_2","FB1_11_3","FB1_11_4","FB1_12_1","FB1_12_2");
d2imp["LED3_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["LED3_SPECSIG"]=new Array("FB1_11_5");
clkimp["LED3_SPECSIG"]=new Array("1");
prld["LED3_SPECSIG"]="GND";
d2["LED4_SPECSIG"]=new Array("FB1_14_1","FB1_14_2","FB1_14_3","FB1_14_4","FB1_13_1","FB1_13_2");
d2imp["LED4_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["LED4_SPECSIG"]=new Array("FB1_14_5");
clkimp["LED4_SPECSIG"]=new Array("1");
prld["LED4_SPECSIG"]="GND";
d2["LED5_SPECSIG"]=new Array("FB1_15_1","FB1_15_2","FB1_15_3","FB1_15_4","FB1_16_1","FB1_16_2");
d2imp["LED5_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["LED5_SPECSIG"]=new Array("FB1_15_5");
clkimp["LED5_SPECSIG"]=new Array("1");
prld["LED5_SPECSIG"]="GND";
d2["LED6_SPECSIG"]=new Array("FB1_17_1","FB1_17_2","FB1_17_3","FB1_17_4");
d2imp["LED6_SPECSIG"]=new Array("1","1","1","1");
clk["LED6_SPECSIG"]=new Array("FB1_17_5");
clkimp["LED6_SPECSIG"]=new Array("1");
prld["LED6_SPECSIG"]="GND";
d2["clkcounta9_SPECSIG"]=new Array("FB2_8_1","FB2_8_2","FB2_8_3","FB2_8_4");
d2imp["clkcounta9_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta9_SPECSIG"]=new Array("FB2_8_5");
clkimp["clkcounta9_SPECSIG"]=new Array("1");
prld["clkcounta9_SPECSIG"]="GND";
d2["clkcounta8_SPECSIG"]=new Array("FB2_9_1","FB2_9_2","FB2_9_3","FB2_9_4");
d2imp["clkcounta8_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta8_SPECSIG"]=new Array("FB2_9_5");
clkimp["clkcounta8_SPECSIG"]=new Array("1");
prld["clkcounta8_SPECSIG"]="GND";
d2["clkcounta7_SPECSIG"]=new Array("FB2_10_1","FB2_10_2","FB2_10_3","FB2_10_4");
d2imp["clkcounta7_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta7_SPECSIG"]=new Array("FB2_10_5");
clkimp["clkcounta7_SPECSIG"]=new Array("1");
prld["clkcounta7_SPECSIG"]="GND";
d2["clkcounta6_SPECSIG"]=new Array("FB2_11_1","FB2_11_2","FB2_11_3","FB2_11_4");
d2imp["clkcounta6_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta6_SPECSIG"]=new Array("FB2_11_5");
clkimp["clkcounta6_SPECSIG"]=new Array("1");
prld["clkcounta6_SPECSIG"]="GND";
d2["clkcounta5_SPECSIG"]=new Array("FB2_12_1","FB2_12_2","FB2_12_3","FB2_12_4");
d2imp["clkcounta5_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta5_SPECSIG"]=new Array("FB2_12_5");
clkimp["clkcounta5_SPECSIG"]=new Array("1");
prld["clkcounta5_SPECSIG"]="GND";
d2["clkcounta4_SPECSIG"]=new Array("FB2_13_1","FB2_13_2","FB2_13_3","FB2_13_4");
d2imp["clkcounta4_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta4_SPECSIG"]=new Array("FB2_13_5");
clkimp["clkcounta4_SPECSIG"]=new Array("1");
prld["clkcounta4_SPECSIG"]="GND";
d2["clkcounta3_SPECSIG"]=new Array("FB2_14_1","FB2_14_2","FB2_14_3","FB2_14_4");
d2imp["clkcounta3_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta3_SPECSIG"]=new Array("FB2_14_5");
clkimp["clkcounta3_SPECSIG"]=new Array("1");
prld["clkcounta3_SPECSIG"]="GND";
d2["clkcounta12_SPECSIG"]=new Array("FB2_15_1","FB2_15_2","FB2_15_3","FB2_15_4");
d2imp["clkcounta12_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta12_SPECSIG"]=new Array("FB2_15_5");
clkimp["clkcounta12_SPECSIG"]=new Array("1");
prld["clkcounta12_SPECSIG"]="GND";
d2["clkcounta11_SPECSIG"]=new Array("FB2_16_1","FB2_16_2","FB2_16_3","FB2_16_4");
d2imp["clkcounta11_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta11_SPECSIG"]=new Array("FB2_16_5");
clkimp["clkcounta11_SPECSIG"]=new Array("1");
prld["clkcounta11_SPECSIG"]="GND";
d2["clkcounta10_SPECSIG"]=new Array("FB2_17_1","FB2_17_2","FB2_17_3","FB2_17_4");
d2imp["clkcounta10_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta10_SPECSIG"]=new Array("FB2_17_5");
clkimp["clkcounta10_SPECSIG"]=new Array("1");
prld["clkcounta10_SPECSIG"]="GND";
d2["storecounta13_SPECSIG"]=new Array("FB2_18_1","FB2_18_2","FB2_18_3","FB2_18_4","FB2_1_1","FB2_1_2");
d2imp["storecounta13_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["storecounta13_SPECSIG"]=new Array("FB2_18_5");
clkimp["storecounta13_SPECSIG"]=new Array("1");
prld["storecounta13_SPECSIG"]="GND";
d2["alreadystoredcnt0_SPECSIG"]=new Array("FB3_1_1","FB3_2_5");
d2imp["alreadystoredcnt0_SPECSIG"]=new Array("1","1");
clk["alreadystoredcnt0_SPECSIG"]=new Array("FB3_1_2");
clkimp["alreadystoredcnt0_SPECSIG"]=new Array("1");
prld["alreadystoredcnt0_SPECSIG"]="GND";
d2["LED7_SPECSIG"]=new Array("FB3_2_1","FB3_2_2","FB3_2_3");
d2imp["LED7_SPECSIG"]=new Array("1","1","1");
clk["LED7_SPECSIG"]=new Array("FB3_2_4");
clkimp["LED7_SPECSIG"]=new Array("1");
prld["LED7_SPECSIG"]="GND";
d2["uartskip0_SPECSIG"]=new Array("FB3_3_1","FB3_3_2");
d2imp["uartskip0_SPECSIG"]=new Array("1","1");
clk["uartskip0_SPECSIG"]=new Array("FB3_3_3");
clkimp["uartskip0_SPECSIG"]=new Array("1");
prld["uartskip0_SPECSIG"]="GND";
d2["clkcounta0_SPECSIG"]=new Array("FB3_4_1","FB3_4_2");
d2imp["clkcounta0_SPECSIG"]=new Array("1","1");
clk["clkcounta0_SPECSIG"]=new Array("FB3_4_3");
clkimp["clkcounta0_SPECSIG"]=new Array("1");
prld["clkcounta0_SPECSIG"]="GND";
d2["TX"]=new Array("FB3_5_1","FB3_5_2","FB3_5_3","FB3_5_4","FB3_4_4");
d2imp["TX"]=new Array("1","1","1","1","1");
clk["TX"]=new Array("FB3_5_5");
clkimp["TX"]=new Array("1");
prld["TX"]="GND";
d2["uartctr4_SPECSIG"]=new Array("FB3_6_1","FB3_6_2","FB3_6_3");
d2imp["uartctr4_SPECSIG"]=new Array("1","1","1");
clk["uartctr4_SPECSIG"]=new Array("FB3_6_4");
clkimp["uartctr4_SPECSIG"]=new Array("1");
prld["uartctr4_SPECSIG"]="GND";
d2["uartctr3_SPECSIG"]=new Array("FB3_7_1","FB3_7_2","FB3_7_3");
d2imp["uartctr3_SPECSIG"]=new Array("1","1","1");
clk["uartctr3_SPECSIG"]=new Array("FB3_7_4");
clkimp["uartctr3_SPECSIG"]=new Array("1");
prld["uartctr3_SPECSIG"]="GND";
d2["uartctr2_SPECSIG"]=new Array("FB3_8_1","FB3_8_2","FB3_8_3");
d2imp["uartctr2_SPECSIG"]=new Array("1","1","1");
clk["uartctr2_SPECSIG"]=new Array("FB3_8_4");
clkimp["uartctr2_SPECSIG"]=new Array("1");
prld["uartctr2_SPECSIG"]="GND";
d2["uartctr1_SPECSIG"]=new Array("FB3_9_1","FB3_9_2","FB3_9_3");
d2imp["uartctr1_SPECSIG"]=new Array("1","1","1");
clk["uartctr1_SPECSIG"]=new Array("FB3_9_4");
clkimp["uartctr1_SPECSIG"]=new Array("1");
prld["uartctr1_SPECSIG"]="GND";
d2["uartctr0_SPECSIG"]=new Array("FB3_10_1","FB3_10_2","FB3_9_2");
d2imp["uartctr0_SPECSIG"]=new Array("1","1","1");
clk["uartctr0_SPECSIG"]=new Array("FB3_10_3");
clkimp["uartctr0_SPECSIG"]=new Array("1");
prld["uartctr0_SPECSIG"]="GND";
d2["clkcounta2_SPECSIG"]=new Array("FB3_11_1","FB3_11_2","FB3_10_4","FB3_10_5");
d2imp["clkcounta2_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta2_SPECSIG"]=new Array("FB3_11_3");
clkimp["clkcounta2_SPECSIG"]=new Array("1");
prld["clkcounta2_SPECSIG"]="GND";
d2["clkcounta1_SPECSIG"]=new Array("FB3_12_1","FB3_12_2","FB3_11_4","FB3_11_5");
d2imp["clkcounta1_SPECSIG"]=new Array("1","1","1","1");
clk["clkcounta1_SPECSIG"]=new Array("FB3_12_3");
clkimp["clkcounta1_SPECSIG"]=new Array("1");
prld["clkcounta1_SPECSIG"]="GND";
d2["storecounta2_SPECSIG"]=new Array("FB3_13_1","FB3_13_2","FB3_13_3","FB3_12_4","FB3_12_5");
d2imp["storecounta2_SPECSIG"]=new Array("1","1","1","1","1");
clk["storecounta2_SPECSIG"]=new Array("FB3_13_4");
clkimp["storecounta2_SPECSIG"]=new Array("1");
prld["storecounta2_SPECSIG"]="GND";
d2["storecounta1_SPECSIG"]=new Array("FB3_14_1","FB3_14_2","FB3_14_3","FB3_14_4","FB3_13_5");
d2imp["storecounta1_SPECSIG"]=new Array("1","1","1","1","1");
clk["storecounta1_SPECSIG"]=new Array("FB3_14_5");
clkimp["storecounta1_SPECSIG"]=new Array("1");
prld["storecounta1_SPECSIG"]="GND";
d2["resetclk0_SPECSIG"]=new Array("FB3_15_1");
d2imp["resetclk0_SPECSIG"]=new Array("1");
clk["resetclk0_SPECSIG"]=new Array("FB3_15_2");
clkimp["resetclk0_SPECSIG"]=new Array("1");
prld["resetclk0_SPECSIG"]="GND";
d2["storecounta5_SPECSIG"]=new Array("FB3_16_1","FB3_16_2","FB3_16_3","FB3_15_3","FB3_15_4","FB3_15_5");
d2imp["storecounta5_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["storecounta5_SPECSIG"]=new Array("FB3_16_4");
clkimp["storecounta5_SPECSIG"]=new Array("1");
prld["storecounta5_SPECSIG"]="GND";
d2["storecounta4_SPECSIG"]=new Array("FB3_17_1","FB3_17_2","FB3_17_3","FB3_17_4","FB3_16_5","FB3_18_5");
d2imp["storecounta4_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["storecounta4_SPECSIG"]=new Array("FB3_17_5");
clkimp["storecounta4_SPECSIG"]=new Array("1");
prld["storecounta4_SPECSIG"]="GND";
d2["storecounta3_SPECSIG"]=new Array("FB3_18_1","FB3_18_2","FB3_18_3","FB3_1_3","FB3_1_4","FB3_1_5");
d2imp["storecounta3_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["storecounta3_SPECSIG"]=new Array("FB3_18_4");
clkimp["storecounta3_SPECSIG"]=new Array("1");
prld["storecounta3_SPECSIG"]="GND";
d2["storecounta14_SPECSIG"]=new Array("FB4_1_1","FB4_1_2","FB4_2_1","FB4_2_2","FB4_2_3","FB4_2_4");
d2imp["storecounta14_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["storecounta14_SPECSIG"]=new Array("FB4_1_3");
clkimp["storecounta14_SPECSIG"]=new Array("1");
prld["storecounta14_SPECSIG"]="GND";
d2["storecounta18_SPECSIG"]=new Array("FB4_13_1","FB4_13_2","FB4_12_1","FB4_12_2","FB4_12_3");
d2imp["storecounta18_SPECSIG"]=new Array("1","1","1","1","1");
clk["storecounta18_SPECSIG"]=new Array("FB4_13_3");
clkimp["storecounta18_SPECSIG"]=new Array("1");
prld["storecounta18_SPECSIG"]="GND";
d2["storecounta17_SPECSIG"]=new Array("FB4_14_1","FB4_14_2","FB4_14_3","FB4_13_4","FB4_13_5");
d2imp["storecounta17_SPECSIG"]=new Array("1","1","1","1","1");
clk["storecounta17_SPECSIG"]=new Array("FB4_14_4");
clkimp["storecounta17_SPECSIG"]=new Array("1");
prld["storecounta17_SPECSIG"]="GND";
d2["storecounta16_SPECSIG"]=new Array("FB4_15_1","FB4_15_2","FB4_15_3","FB4_15_4","FB4_14_5");
d2imp["storecounta16_SPECSIG"]=new Array("1","1","1","1","1");
clk["storecounta16_SPECSIG"]=new Array("FB4_15_5");
clkimp["storecounta16_SPECSIG"]=new Array("1");
prld["storecounta16_SPECSIG"]="GND";
d2["storecounta6_SPECSIG"]=new Array("FB4_17_1","FB4_17_2","FB4_17_3","FB4_17_4","FB4_16_1","FB4_16_2");
d2imp["storecounta6_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["storecounta6_SPECSIG"]=new Array("FB4_17_5");
clkimp["storecounta6_SPECSIG"]=new Array("1");
prld["storecounta6_SPECSIG"]="GND";
d2["storecounta15_SPECSIG"]=new Array("FB4_18_1","FB4_18_2","FB4_18_3","FB4_18_4","FB4_1_4","FB4_1_5");
d2imp["storecounta15_SPECSIG"]=new Array("1","1","1","1","1","1");
clk["storecounta15_SPECSIG"]=new Array("FB4_18_5");
clkimp["storecounta15_SPECSIG"]=new Array("1");
prld["storecounta15_SPECSIG"]="GND";
uimSignals = new Array();
uimSigNegs = new Array();
uimPterms = new Array();
function getEqnList() {
var str = "<html><head><link type='text/css' href='style.css' rel='stylesheet'></head><body class='pgBgnd'>";
str += "<center><h3>Equations</h3></center>";
str += "<table width='90%' align='center' border='1' cellpadding='0' cellspacing='0'>";
for (s=0; s<uimSignals.length; s++) {
str += "<tr><td>";
str += retUimEqn(uimSignals[s]);
str += "</td></tr>";
}
for (s=0; s<signals.length; s++) {
str += "<tr><td>";
str += retEqn(signals[s]);
str += "</td></tr>";
}
var legend = retLegend();
if (legend != "") {
str += "<tr><td>" + legend + "</td></tr>";
}
str += "</table>";
str += "<form><span class='pgRef'>";
if (!parent.leftnav.IsNS()) {
str += "<table width='90%' align='center'>";
str += "<tr><td align='left'><input type='button' value='back to top' ";
str += "onclick='javascript:parent.leftnav.showEqnAll()' ";
str += "onmouseover=\"window.status='goto top of page'; return true;\" ";
str += "onmouseout=\"window.status=''\">";
str += "</td>";
str += "<td align='right'>";
str += "<input type='button' value='print page' ";
str += "onclick='javascript:window.print()' ";
str += "onmouseover=\"window.status='print page'; return true;\" ";
str += "onmouseout=\"window.status=''\">";
str += "</td>";
str += "</tr></table>";
}
else {
str += "<table width='90%' align='center'>";
str += "<tr><td align='left'><a href='javascript:parent.leftnav.showEqnAll()'>back to top</a>";
str += "</td>";
str += "<td align='right'>";
str += "<a href='javascript:window.print()'>";
str += "print page</a>";
str += "</td>";
str += "</tr></table>";
}
str += "</span></form>";
str += "</body></html>";
return str;
}
</script>
</head></html>

+ 929
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/eqns.js View File

@ -0,0 +1,929 @@
var eqnType = 0;
var spcStr = "&nbsp;";
var nlStr = "<br>";
var tabStr = spcStr + spcStr + spcStr + spcStr + spcStr;
var nlTabStr = nlStr + tabStr;
var rClrS = "<font color='blue'>";
var rClrE = "</font>";
var cClrS = "<font color='green'>";
var cClrE = "</font>";
var abelOper = new Array();
abelOper["GND"] = new Array("Gnd");
abelOper["VCC"] = new Array("Vcc");
abelOper["NOT"] = new Array(rClrS + "!" + rClrE);
abelOper["AND"] = new Array(rClrS + "&" + rClrE);
abelOper["OR"] = new Array(rClrS + "#" + rClrE);
abelOper["XOR"] = new Array(rClrS + "$" + rClrE);
abelOper["EQUAL_COLON"] = new Array(":= ");
abelOper["EQUAL"] = new Array("= ");
abelOper["ASSIGN"] = new Array("");
abelOper["OPEN_NEGATE"] = new Array("(");
abelOper["CLOSE_NEGATE"] = new Array(")");
abelOper["OPEN_PTERM"] = new Array("");
abelOper["CLOSE_PTERM"] = new Array("");
abelOper["OPEN_BRACE"] = new Array("<");
abelOper["CLOSE_BRACE"] = new Array(">");
abelOper["INVALID_OPEN_BRACE"] = new Array("<");
abelOper["INVALID_CLOSE_BRACE"] = new Array(">");
abelOper["ENDLN"] = new Array(";");
abelOper["COMMENT"] = new Array("//");
abelOper["IMPORT"] = new Array(";Imported pterms ");
abelOper["GCK_COM"] = new Array("GCK");
abelOper["GTS_COM"] = new Array("GTS");
abelOper["GSR_COM"] = new Array("GSR");
abelOper["OD_COM"] = new Array("Open Drain");
abelOper["START_EQN"] = new Array("");
abelOper["END_EQN"] = new Array("");
abelOper["_I"] = new Array(".I");
abelOper["_T"] = new Array(".T");
abelOper["_D"] = new Array(".D");
abelOper["_C"] = new Array(".CLK");
abelOper["_DEC"] = new Array(".DEC");
abelOper["_LH"] = new Array(".LH");
abelOper["_CLR"] = new Array(".AR");
abelOper["_PRE"] = new Array(".AP");
abelOper["_CE"] = new Array(".CE");
abelOper["_OE"] = new Array(".OE");
abelOper["OE_START"] = new Array(" <= ");
abelOper["OE_WHEN"] = new Array(" when ");
abelOper["OE_EQUAL"] = new Array(" = ");
abelOper["OE_ELSE"] = new Array(" else ");
abelOper["B0"] = new Array("'0'");
abelOper["B1"] = new Array("'1'");
abelOper["BZ"] = new Array("'Z'");
abelOper["FD"] = new Array(".D");
abelOper["FT"] = new Array(".T");
abelOper["FDD"] = new Array(".DEC");
abelOper["FTD"] = new Array(".T");
abelOper["LD"] = new Array(".LH");
abelOper["Q"] = new Array(".Q");
var vhdlOper = new Array();
vhdlOper["GND"] = new Array("'0'");
vhdlOper["VCC"] = new Array("'1'");
vhdlOper["NOT"] = new Array(rClrS + "NOT " + rClrE);
vhdlOper["AND"] = new Array(rClrS + "AND" + rClrE);
vhdlOper["OR"] = new Array(rClrS + "OR" + rClrE);
vhdlOper["XOR"] = new Array(rClrS + "XOR" + rClrE);
vhdlOper["EQUAL_COLON"] = new Array("<= ");
vhdlOper["EQUAL"] = new Array("<= ");
vhdlOper["ASSIGN"] = new Array("");
vhdlOper["OPEN_NEGATE"] = new Array("(");
vhdlOper["CLOSE_NEGATE"] = new Array(")");
vhdlOper["OPEN_PTERM"] = new Array("(");
vhdlOper["CLOSE_PTERM"] = new Array(")");
vhdlOper["OPEN_BRACE"] = new Array("(");
vhdlOper["CLOSE_BRACE"] = new Array(")");
vhdlOper["INVALID_OPEN_BRACE"] = new Array("<");
vhdlOper["INVALID_CLOSE_BRACE"] = new Array(">");
vhdlOper["ENDLN"] = new Array(";");
vhdlOper["COMMENT"] = new Array("--");
vhdlOper["IMPORT"] = new Array("");
vhdlOper["GCK_COM"] = new Array("GCK");
vhdlOper["GTS_COM"] = new Array("GTS");
vhdlOper["GSR_COM"] = new Array("GSR");
vhdlOper["OD_COM"] = new Array("Open Drain");
vhdlOper["START_EQN"] = new Array(rClrS + "port map" + rClrE + " (");
vhdlOper["END_EQN"] = new Array(")");
vhdlOper["_I"] = new Array("_I");
vhdlOper["_T"] = new Array("_T");
vhdlOper["_D"] = new Array("_D");
vhdlOper["_C"] = new Array("_C");
vhdlOper["_DEC"] = new Array("_C");
vhdlOper["_LH"] = new Array("_C");
vhdlOper["_CLR"] = new Array("_CLR");
vhdlOper["_PRE"] = new Array("_PRE");
vhdlOper["_CE"] = new Array("_CE");
vhdlOper["_OE"] = new Array("_OE");
vhdlOper["OE_START"] = new Array(" <= ");
vhdlOper["OE_WHEN"] = new Array(" when ");
vhdlOper["OE_EQUAL"] = new Array(" = ");
vhdlOper["OE_ELSE"] = new Array(" else ");
vhdlOper["B0"] = new Array("'0'");
vhdlOper["B1"] = new Array("'1'");
vhdlOper["BZ"] = new Array("'Z'");
vhdlOper["FD"] = new Array("FDCPE");
vhdlOper["FT"] = new Array("FTCPE");
vhdlOper["FDD"] = new Array("FDDCPE");
vhdlOper["FTD"] = new Array("FTDCPE");
vhdlOper["LD"] = new Array("LDCP");
vhdlOper["Q"] = new Array("");
var verOper = new Array();
verOper["GND"] = new Array("1'b0");
verOper["VCC"] = new Array("1'b1");
verOper["NOT"] = new Array(rClrS + "!" + rClrE);
verOper["AND"] = new Array(rClrS + "&&" + rClrE);
verOper["OR"] = new Array(rClrS + "||" + rClrE);
verOper["XOR"] = new Array(rClrS + "XOR" + rClrE);
verOper["EQUAL_COLON"] = new Array("= ");
verOper["EQUAL"] = new Array("= ");
verOper["ASSIGN"] = new Array("assign ");
verOper["OPEN_NEGATE"] = new Array("(");
verOper["CLOSE_NEGATE"] = new Array(")");
verOper["OPEN_PTERM"] = new Array("(");
verOper["CLOSE_PTERM"] = new Array(")");
verOper["OPEN_BRACE"] = new Array("[");
verOper["CLOSE_BRACE"] = new Array("]");
verOper["INVALID_OPEN_BRACE"] = new Array("<");
verOper["INVALID_CLOSE_BRACE"] = new Array(">");
verOper["ENDLN"] = new Array(";");
verOper["COMMENT"] = new Array("//");
verOper["IMPORT"] = new Array("");
verOper["GCK_COM"] = new Array("GCK");
verOper["GTS_COM"] = new Array("GTS");
verOper["GSR_COM"] = new Array("GSR");
verOper["OD_COM"] = new Array("Open Drain");
verOper["START_EQN"] = new Array(" (");
verOper["END_EQN"] = new Array(")");
verOper["_I"] = new Array("_I");
verOper["_T"] = new Array("_T");
verOper["_D"] = new Array("_D");
verOper["_C"] = new Array("_C");
verOper["_DEC"] = new Array("_C");
verOper["_LH"] = new Array("_C");
verOper["_CLR"] = new Array("_CLR");
verOper["_PRE"] = new Array("_PRE");
verOper["_CE"] = new Array("_CE");
verOper["_OE"] = new Array("_OE");
verOper["OE_START"] = new Array(" = ");
verOper["OE_WHEN"] = new Array(" ? ");
verOper["OE_EQUAL"] = new Array("");
verOper["OE_ELSE"] = new Array(" : ");
verOper["B0"] = new Array("1'b0");
verOper["B1"] = new Array("1'b1");
verOper["BZ"] = new Array("1'bz");
verOper["FD"] = new Array("FDCPE");
verOper["FT"] = new Array("FTCPE");
verOper["FDD"] = new Array("FDDCPE");
verOper["FTD"] = new Array("FTDCPE");
verOper["LD"] = new Array("LDCP");
verOper["Q"] = new Array("");
var operator = abelOper;
var pterms = new Array();
var d1 = new Array();
var d2 = new Array();
var clk = new Array();
var set = new Array();
var rst = new Array();
var trst = new Array();
var d1imp = new Array();
var d2imp = new Array();
var clkimp = new Array();
var setimp = new Array();
var rstimp = new Array();
var trstimp = new Array();
var gblclk = new Array();
var gblset = new Array();
var gblrst = new Array();
var gbltrst = new Array();
var ce = new Array();
var ceimp = new Array();
var prld = new Array();
var specSig = new Array();
var clkNegs = new Array();
var setNegs = new Array();
var rstNegs = new Array();
var trstNegs = new Array();
var ceNegs = new Array();
var fbnand = new Array();
var inreg = new Array();
var iostyle = new Array();
var dOneLit = true;
function setOper(type) {
if (type == "1") { operator = vhdlOper; eqnType = 1; }
else if (type == "2") { operator = verOper; eqnType = 2; }
else { operator = abelOper; eqnType = 0; }
}
function isXC95() {
if (device.indexOf("95") != -1) return true;
return false;
}
function is9500() {
if ((device.indexOf("95") != -1) &&
(device.indexOf("XL") == -1) &&
(device.indexOf("XV") == -1)) return true;
return false;
}
function retSigType(s) {
var sigType = sigTypes[s];
var str = operator["Q"];
if (sigType == "D") str = operator["FD"];
else if (sigType == "T") str = operator["FT"];
else if (sigType.indexOf("LATCH") != -1) str = operator["LD"];
else if (sigType.indexOf("DDEFF") != -1) str = operator["FDD"];
else if (sigType.indexOf("DEFF") != -1) str = operator["FD"];
else if (sigType.indexOf("DDFF") != -1) str = operator["FDD"];
else if (sigType.indexOf("TDFF") != -1) str = operator["FTD"];
else if (sigType.indexOf("DFF") != -1) str = operator["FD"];
else if (sigType.indexOf("TFF") != -1) str = operator["FT"];
return str;
}
function retSigIndex(signal) {
for (s=0; s<signals.length; s++) { if (signals[s] == signal) return s; }
return -1;
}
function retSigName(signal) {
var str = "";
if (specSig[signal]) str += specSig[signal];
else str += signal;
var idx1 = str.indexOf(operator["INVALID_OPEN_BRACE"]);
var idx2 = str.indexOf(operator["INVALID_CLOSE_BRACE"]);
if ((idx1 != -1) && (idx2 != -1))
str = str.substring(0,idx1) + operator["OPEN_BRACE"] +
str.substring(idx1+1,idx2) + operator["CLOSE_BRACE"] +
str.substring(idx2+1,str.length);
return str;
}
function removePar(signal) {
var str = signal;
var idx = str.indexOf(operator["OPEN_BRACE"]);
if (idx != -1)
str = str.substring(0,idx) +
str.substring(idx+1,str.indexOf(operator["CLOSE_BRACE"]));
return str;
}
function isOneLiteral(str) {
if ((str.indexOf(operator["AND"]) != -1) ||
(str.indexOf(operator["OR"]) != -1) ||
(str.indexOf(operator["XOR"]) != -1)) return false;
return true;
}
function updateName(signal, index) {
var str;
var idx = signal.indexOf(operator["OPEN_BRACE"]);
if (idx != -1)
str = signal.substring(0,idx) +
index + signal.substring(idx);
else str = signal + index;
return str;
}
function retPterm(pt) {
var str = "";
if (!pterms[pt]) {
if (specSig[pt]) pt = specSig[pt];
return pt;
}
if (pterms[pt].length > 1) str += operator["OPEN_PTERM"];
for (p=0; p<pterms[pt].length; p++) {
var sig = pterms[pt][p];
if (sig.indexOf("xPUP_0") != -1) continue;
if (p>0) str += " " + operator["AND"] + " ";
var neg = 0;
if (sig.indexOf("/") != -1) {
sig = sig.substring(1, sig.length);
str += operator["NOT"];
neg = 1;
}
str += retSigName(sig);
}
if (pterms[pt].length > 1) str += operator["CLOSE_PTERM"];
return str;
}
function retFBMC(str) {
return str.substring(0,str.length-2) + nlStr + tabStr;
}
function retD1D2(signal) {
var str = "";
dOneLit = true;
if (d1[signal]) {
var currImp = "";
for (i=0; i<d1[signal].length; i++) {
if (!eqnType && d1imp[signal] && (d1imp[signal][i] == "1")) {
if ((currImp != retFBMC(d1[signal][i])) &&
(d1[signal][i].indexOf("FB") == 0)) {
currImp = retFBMC(d1[signal][i]);
str += nlStr + operator["IMPORT"] + currImp;
}
}
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(d1[signal][i]);
}
if (d2[signal]) str += nlTabStr + operator["XOR"]+ spcStr;
}
if (d2[signal]) {
var currImp = "";
for (i=0; i<d2[signal].length; i++) {
if (!eqnType && d2imp[signal] && (d2imp[signal][i] == "1")) {
if ((currImp != retFBMC(d2[signal][i])) &&
(d2[signal][i].indexOf("FB") == 0)) {
currImp = retFBMC(d2[signal][i]);
str += nlStr + operator["IMPORT"] + currImp;
}
}
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(d2[signal][i]);
}
}
if (str == "GND") str = operator["GND"];
else if (str == "VCC") str = operator["VCC"];
else if (!isOneLiteral(str)) {
dOneLit = false;
var type = retSigType(retSigIndex(signal));
if ((type == operator["FD"]) ||
(type == operator["FDD"])) type = operator["_D"];
else if ((type == operator["FT"]) ||
(type == operator["FTD"])) type = operator["_T"];
else if (type == operator["LD"] && eqnType) type = "_D";
var tmpStr = updateName(retSigName(signal), type);
tmpStr += spcStr + operator["EQUAL_COLON"];
var idx = retSigIndex(signal);
if (eqnType && sigNegs[idx] == "ON") tmpStr += operator["NOT"] + operator["OPEN_NEGATE"];
str = tmpStr + str;
if (eqnType && sigNegs[idx] == "ON") str += operator["CLOSE_NEGATE"];
str += operator["ENDLN"];
}
return str;
}
function retClk(signal) {
var str = "";
if (clk[signal]) {
if (clk[signal].length == 1) {
var pterm = retPterm(clk[signal][0]);
if (clkNegs[signal]) {
str += operator["NOT"];
if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"];
}
str += pterm;
if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"];
}
else {
if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
var currImp = "";
for (i=0; i<clk[signal].length; i++) {
if (!eqnType && clkimp[signal] && (clkimp[signal][i] == "1")) {
if ((currImp != retFBMC(clk[signal][i])) &&
(clk[signal][i].indexOf("FB") == 0)) {
currImp = retFBMC(clk[signal][i]);
str += nlStr + operator["IMPORT"] + currImp;
}
}
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(clk[signal][i]);
}
if (clkNegs[signal]) str += operator["CLOSE_NEGATE"];
str += operator["ENDLN"];
}
}
else if (gblclk[signal]) {
if (gblclk[signal].length == 1) {
var pterm = retPterm(gblclk[signal][0]);
if (clkNegs[signal]) {
str += operator["NOT"];
if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"];
}
str += pterm;
if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"];
}
else {
if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
for (i=0; i<gblclk[signal].length; i++) {
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(gblclk[signal][i]);
}
if (clkNegs[signal]) str += operator["CLOSE_NEGATE"];
str += operator["ENDLN"] + tabStr + cClrS +
operator["COMMENT"] + spcStr + operator["GCK_COM"] + cClrE;
}
}
else if (eqnType) str += operator["B0"];
return str;
}
function retRst(signal) {
var str = "";
if (rst[signal]) {
if (rst[signal].length == 1) {
var currImp;
if (!eqnType && rstimp[signal] && (rstimp[signal][0] == "1")) {
if ((currImp != retFBMC(rst[signal][i])) &&
(rst[signal][i].indexOf("FB") == 0)) {
currImp = retFBMC(rst[signal][0]);
str += nlStr + operator["IMPORT"] + currImp;
}
}
if (rstNegs[signal]) str += operator["NOT"];
str += retPterm(rst[signal][0]);
}
else {
var currImp = "";
if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
for (i=0; i<rst[signal].length; i++) {
if (!eqnType && rstimp[signal] && (rstimp[signal][i] == "1")) {
if ((currImp != retFBMC(rst[signal][i])) &&
(rst[signal][i].indexOf("FB") == 0)) {
currImp = retFBMC(rst[signal][i]);
str += nlStr + operator["IMPORT"] + currImp;
}
}
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(rst[signal][i]);
}
if (rstNegs[signal]) str += operator["CLOSE_NEGATE"];
str += operator["ENDLN"];
}
}
else if (gblrst[signal]) {
if (gblrst[signal].length == 1) {
if (rstNegs[signal]) str += operator["NOT"];
str += retPterm(gblrst[signal][0]);
}
else {
if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
for (i=0; i<gblrst[signal].length; i++) {
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(gblrst[signal][i]);
}
if (rstNegs[signal]) str += operator["CLOSE_NEGATE"];
str += operator["ENDLN"] + tabStr + cClrS +
operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE;
}
}
else if (eqnType) str += operator["B0"];
return str;
}
function retSet(signal) {
var str = "";
if (set[signal]) {
if (set[signal].length == 1) {
var currImp = "";
if (!eqnType && setimp[signal] && (setimp[signal][0] == "1")) {
if ((currImp != retFBMC(set[signal][i])) &&
(set[signal][i].indexOf("FB") == 0)) {
currImp = retFBMC(set[signal][0]);
str += nlStr + operator["IMPORT"] + currImp;
}
}
if (setNegs[signal]) str += operator["NOT"];
str += retPterm(set[signal][0]);
}
else {
var currImp = "";
if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
for (i=0; i<set[signal].length; i++) {
if (!eqnType && setimp[signal] && (setimp[signal][i] == "1")) {
if ((currImp != retFBMC(set[signal][i])) &&
(set[signal][i].indexOf("FB") == 0)) {
currImp = retFBMC(set[signal][i]);
str += nlStr + operator["IMPORT"] + currImp;
}
}
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(set[signal][i]);
}
if (setNegs[signal]) str += operator["CLOSE_NEGATE"];
str += operator["ENDLN"];
}
}
else if (gblset[signal]) {
if (gblset[signal].length == 1) {
if (setNegs[signal]) str += operator["NOT"];
str += retPterm(gblset[signal][0]);
}
else {
if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
for (i=0; i<gblset[signal].length; i++) {
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(gblset[signal][i]);
}
if (setNegs[signal]) str += operator["CLOSE_NEGATE"];
str += operator["ENDLN"] + tabStr + cClrS +
operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE;
}
}
else if (eqnType) str += operator["B0"];
return str;
}
function retCE(signal) {
var str = "";
if (ce[signal]) {
if (ce[signal].length == 1) {
var currImp = "";
if (!eqnType && ceimp[signal] && (ceimp[signal][0] == "1")) {
if ((currImp != retFBMC(ce[signal][i])) &&
(ce[signal][i].indexOf("FB") == 0)) {
currImp = retFBMC(ce[signal][0]);
str += nlStr + operator["IMPORT"] + currImp;
}
}
if (ceNegs[signal]) str += operator["NOT"];
str += retPterm(ce[signal][0]);
}
else {
var currImp = "";
if (ceNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"];
for (i=0; i<ce[signal].length; i++) {
if (!eqnType && ceimp[signal] && (ceimp[signal][i] == "1")) {
if ((currImp != retFBMC(ce[signal][i])) &&
(ce[signal][i].indexOf("FB") == 0)) {
currImp = retFBMC(ce[signal][i]);
str += nlStr + operator["IMPORT"] + currImp;
}
}
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(ce[signal][i]);
}
if (ceNegs[signal]) str += operator["CLOSE_NEGATE"];
str += operator["ENDLN"];
}
}
else if (eqnType) str += operator["B1"];
return str;
}
function retTrst(signal) {
var str = "";
if (trst[signal]) {
if (trstNegs[signal])
str += operator["NOT"] + operator["OPEN_NEGATE"];
for (i=0; i<trst[signal].length; i++) {
var currImp = "";
if (!eqnType && trstimp[signal] && (trstimp[signal][0] == "1")) {
if ((currImp != retFBMC(trst[signal][i])) &&
(trst[signal][i].indexOf("FB") == 0)) {
currImp = retFBMC(trst[signal][0]);
str += nlStr + operator["IMPORT"] + currImp;
}
}
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(trst[signal][i]);
}
if (trstNegs[signal]) str += operator["CLOSE_NEGATE"];
}
else if (gbltrst[signal]) {
if (trstNegs[signal])
str += operator["NOT"] + operator["OPEN_NEGATE"];
for (i=0; i<gbltrst[signal].length; i++) {
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(gbltrst[signal][i]);
}
if (trstNegs[signal]) str += operator["CLOSE_NEGATE"];
}
str += operator["ENDLN"];
return str;
}
function retEqn(signal) {
var str = inregStr = "";
var iStr = qStr = "";
var dStr = dEqn = "";
var cStr = cEqn = "";
var clrStr = clrEqn = "";
var preStr = preEqn = "";
var ceStr = ceEqn = "";
var oeStr = oeEqn = "";
var sigName = retSigName(signal);
var type = retSigType(retSigIndex(signal));
if (gbltrst[signal] || trst[signal]) iStr = operator["_I"];
if (eqnType) qStr = updateName(sigName, iStr);
if (inreg[signal]) {
if (!eqnType)
inregStr = operator["COMMENT"] + " Direct Input Register" + nlStr;
dStr = retSigName(inreg[signal][0]);
}
else dStr = retD1D2(signal);
if (eqnType && !dOneLit) {
dEqn = dStr;
dStr = dStr.substring(0,dStr.indexOf(operator["EQUAL_COLON"]));
}
else if (!eqnType) {
if (!dOneLit) dStr = dStr.substring(dStr.indexOf(operator["EQUAL_COLON"])+2);
if (sigNegs[retSigIndex(signal)] == "ON") dEqn += operator["NOT"];
dEqn += sigName;
if ((type == operator["FT"]) ||
(type == operator["FTD"])) dEqn += operator["_T"];
else if ((type == operator["FD"]) ||
(type == operator["FTD"])||
(type == operator["LD"])) dEqn += operator["_D"];
dEqn += " ";
if ((type != operator["Q"]) && (type != operator["LD"]))
dEqn += operator["EQUAL_COLON"];
else dEqn += operator["EQUAL"];
dEqn += dStr;
if (dOneLit) {
dEqn += operator["ENDLN"];
if (iostyle[signal] && iostyle[signal].indexOf("OD"))
dEqn += tabStr + operator["COMMENT"] + " " + operator["OD_COM"];
}
}
cStr = retClk(signal);
if (eqnType && !isOneLiteral(cStr)){
cEqn = cStr;
if (cEqn.indexOf(operator["ENDLN"]) == -1)
cEqn += operator["ENDLN"];
cStr = updateName(sigName, operator["_C"]);
}
else if (!eqnType && cStr) {
cEqn += cStr;
cStr = tabStr + sigName;
if (type == operator["LD"]) cStr += operator["_LH"];
else if (type == operator["FDD"]) cStr += operator["_DEC"];
else cStr += operator["_C"];
if (cEqn.indexOf(operator["ENDLN"]) == -1)
cEqn += operator["ENDLN"];
if (gblclk[signal]) cEqn += tabStr + operator["COMMENT"] + " " + operator["GCK_COM"];
}
clrStr = retRst(signal);
if (eqnType && !isOneLiteral(clrStr)){
clrEqn = clrStr;
if (cEqn.indexOf(operator["ENDLN"]) == -1)
clrEqn += operator["ENDLN"];
clrStr = updateName(sigName, operator["_CLR"]);
}
else if (!eqnType && clrStr) {
clrEqn += clrStr;
clrStr = tabStr + sigName + operator["_CLR"];
if (clrEqn.indexOf(operator["ENDLN"]) == -1)
clrEqn += operator["ENDLN"];
if (gblrst[signal]) clrEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"];
}
preStr = retSet(signal);
if (eqnType && !isOneLiteral(preStr)){
preEqn = preStr;
if (cEqn.indexOf(operator["ENDLN"]) == -1)
preEqn += operator["ENDLN"];
preStr = updateName(sigName, operator["_PRE"]);
}
else if (!eqnType && preStr) {
preEqn += preStr;
preStr = tabStr + sigName + operator["_PRE"];
if (preEqn.indexOf(operator["ENDLN"]) == -1)
preEqn += operator["ENDLN"];
if (gblset[signal]) preEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"];
}
if (!is9500()) {
ceStr = retCE(signal);
if (eqnType && !isOneLiteral(ceStr)){
ceEqn = ceStr;
if (cEqn.indexOf(operator["ENDLN"]) == -1)
ceEqn += operator["ENDLN"];
ceStr = updateName(sigName, operator["_CE"]);
}
else if (!eqnType && ceStr) {
ceEqn += ceStr;
ceStr = tabStr + sigName + operator["_CE"];
if (ceEqn.indexOf(operator["ENDLN"]) == -1)
ceEqn += operator["ENDLN"];
}
}
if (eqnType && gbltrst[signal]) oeEqn = retTrst(signal);
else if (!eqnType && (trst[signal] || gbltrst[signal])) oeEqn = retTrst(signal);
var newline = false;
if ((type == "") && (clrStr == "")) {
str += operator["ASSIGN"] + qStr + " " + operator["EQUAL"];
if (dOneLit) str += dStr;
else str += dEqn.substring(dEqn.indexOf(operator["EQUAL"])+2);
if (oeEqn != "") {
var oeStr = updateName(sigName, operator["_OE"]);
if (eqnType == 1) {
str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr +
operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] +
operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"];
}
else if (eqnType == 2) {
str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] +
oeStr + operator["OE_WHEN"] + qStr +
operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"];
}
str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn;
}
}
else {
if (eqnType == 1) {
str += type + "_" + removePar(retSigName(signal)) +
": " + type + " " + operator["START_EQN"] +
qStr + ", " + dStr + ", " + cStr + ", " +
clrStr + ", " + preStr;
if (!is9500() && (type != operator["LD"])) str += ", " + ceStr;
str += operator["END_EQN"] + operator["ENDLN"];
newline = true;
}
else if (eqnType == 2) {
str += type + " " +
type + "_" + removePar(retSigName(signal)) +
operator["START_EQN"] +
qStr + ", " + dStr + ", " + cStr + ", " +
clrStr + ", " + preStr;
if (!is9500() && (type != operator["LD"])) str += ", " + ceStr;
str += operator["END_EQN"] + operator["ENDLN"];
newline = true;
}
if (dEqn != "") {
if (newline) str += nlStr;
if (inregStr) str += inregStr;
str += operator["ASSIGN"] + dEqn;
}
if (cEqn != "") {
if (newline || !eqnType) str += nlStr;
str += operator["ASSIGN"] + cStr + " " + operator["EQUAL"] + " " + cEqn;
}
if (clrEqn != "") {
if (newline || !eqnType) str += nlStr;
str += operator["ASSIGN"] + clrStr + " " + operator["EQUAL"] + " " + clrEqn;
}
if (preEqn != "") {
if (newline || !eqnType) str += nlStr;
str += operator["ASSIGN"] + preStr + " " + operator["EQUAL"] + " " + preEqn;
}
if (ceEqn != "") {
if (newline || !eqnType) str += nlStr;
str += operator["ASSIGN"] + ceStr + " " + operator["EQUAL"] + " " + ceEqn;
}
if (oeEqn != "") {
if (eqnType == 1) {
// var oeStr = updateName(sigName, operator["_OE"]);
var oeStr = sigName;
str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr +
operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] +
operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"];
// str += nlStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn;
}
else if (eqnType == 2) {
// var oeStr = updateName(sigName, operator["_OE"]);
var oeStr = sigName;
str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] + oeStr + operator["OE_WHEN"] + qStr +
operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"];
// str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn;
}
else {
var oeStr = sigName + operator["_OE"];
if (gbltrst[signal])
oeEqn += tabStr + operator["COMMENT"] + " " + operator["GTS_COM"];
str += nlStr + tabStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn;
}
}
}
if (iostyle[signal] && iostyle[signal].indexOf("OD")) {
if (str.indexOf("//") == -1)
str += tabStr + operator["COMMENT"] + " " + operator["OD_COM"];
}
return str;
}
function retFamily() {
var family = "xc9500";
if (device.indexOf("XC2C") != -1) {
if (device.indexOf("S") != -1) family = "cr2s";
else family = "xbr";
}
else if (device.indexOf("XCR3") != -1) family = "xpla3";
else {
if (device.indexOf("XL") != -1) family = "xc9500xl";
if (device.indexOf("XV") != -1) family = "xc9500xv";
}
return family;
}
function retDesign() { return design; }
function getPterm(pt, type) {
if (type) return type + " = " + retPterm(pt);
return "PT" + pt.substring(pt.indexOf('_')+1,pt.length) + " = " + retPterm(pt);
}
function getPRLDName(prld) {
if (eqnType != 0) return prld;
else if (prld == "VCC") return "S";
return "R";
}
function retFbnand(signal) {
var str = operator["COMMENT"] + spcStr + "Foldback NAND";
str += nlStr + retSigName(signal) + spcStr + operator["EQUAL"] + spcStr;
for (i=0; i<fbnand[signal].length; i++) {
if (i>0) str += nlTabStr + operator["OR"] + spcStr;
str += retPterm(fbnand[signal][i]);
}
return str;
}
function getEqn(signal) { return retEqn(signal); }
function retUimPterm(pt) {
var str = "";
if (!uimPterms[pt]) return pt;
for (p=0; p<uimPterms[pt].length; p++) {
if (p>0) str += spcStr + operator["AND"] + spcStr;
var sig = uimPterms[pt][p];
if (sig.indexOf("/") != -1) sig = sig.substring(1, sig.length);
str += retSigName(sig);
}
return str;
}
function retUimEqn(signal) {
var str = operator["COMMENT"] + spcStr + "FC Node" + nlStr;
var neg = 0;
if (uimSigNegs[s] == "ON") str += operator["NOT"];
str += retSigName(signal) + spcStr + operator["EQUAL"];
str += retUimPterm(signal) + ";";
return str;
}
function retLegend(url) {
var str = "";
if (!eqnType && !isXC95()) {
str = "Legend: " + "&lt;" + "signame" + "&gt;" + ".COMB = combinational node mapped to ";
str += "the same physical macrocell as the FastInput \"signal\" (not logically related)";
}
else if (eqnType) {
str = "Register Legend:";
if (is9500()) {
str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE);";
str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE);";
str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);";
}
else if (retFamily() == "xbr") {
str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);";
str += nlTabStr + "FDDCPE (Q,D,C,CLR,PRE,CE);";
str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);";
str += nlTabStr + "FTDCPE (Q,D,C,CLR,PRE,CE);";
str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);";
}
else {
str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);";
str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);";
str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);";
}
}
return str;
}

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equations.gif View File

Before After
Width: 183  |  Height: 413  |  Size: 20 KiB

+ 13
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equations.htm View File

@ -0,0 +1,13 @@
<html>
<head>
<script>
function init() {
document.open();
document.write(parent.leftnav.document.options.htmlStr.value);
document.close();
}
</script>
</head>
<body onload="javascript:init()">
</body>
</html>

+ 53
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/equationsdoc.htm View File

@ -0,0 +1,53 @@
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
<html>
<!--(==============================================================)-->
<!--(Document created with RoboEditor. )============================-->
<!--(==============================================================)-->
<head>
<title>Equations</title>
<!--(Meta)==========================================================-->
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
<meta name=generator-major-version content=0.1>
<meta name=generator-minor-version content=1>
<meta name=filetype content=kadov>
<meta name=filetype-version content=1>
<meta name=page-count content=1>
<meta name=layout-height content=582>
<meta name=layout-width content=798>
<meta name=date content="05 1, 2002 4:30:09 PM">
</head>
<!--(Body)==========================================================-->
<body>
<h1>Equations</h1>
<p><span style="font-size: 10pt; font-family: arial, sans-serif;">The Equations
page provides a list of equations organized by signal name. <!--kadov_tag{{<spaces>}}-->&nbsp;<!--kadov_tag{{</spaces>}}-->You
can use the pulldown menu in the left-hand frame of the page to select
ABEL, VHDL, or Verilog as your language of display.</span> </p>
<p><img src="xml8.jpg"
x-maintain-ratio=TRUE
style="border: none;
width: 181px;
height: 448px;
float: none;"
width=181
height=448
border=0></p>
</body>
</html>

+ 41
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors.js View File

@ -0,0 +1,41 @@
var infoList = new Array();
var warnList = new Array();
var errorList = new Array();
function updateError(type) {
with (document.options) {
switch (type) {
case 0:
if (info.checked) parent.leftnav.document.options.info.value = 1;
else parent.leftnav.document.options.info.value = 0;
break;
case 1:
if (warn.checked) parent.leftnav.document.options.warn.value = 1;
else parent.leftnav.document.options.warn.value = 0;
break;
case 2:
if (error.checked) parent.leftnav.document.options.error.value = 1;
else parent.leftnav.document.options.error.value = 0;
break;
}
}
parent.leftnav.showError();
}
function init() {
if (!document.options) return;
with (document.options) {
if (parent.leftnav.document.options.info.value == 1) info.checked = 1;
else info.checked = 0;
if (parent.leftnav.document.options.warn.value == 1) warn.checked = 1;
else warn.checked = 0;
if (parent.leftnav.document.options.error.value == 1) error.checked = 1;
else error.checked = 0;
}
}
function showError(url) { parent.leftnav.showErrorLink(url); }

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors1.gif View File

Before After
Width: 503  |  Height: 249  |  Size: 5.5 KiB

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errors2.gif View File

Before After
Width: 483  |  Height: 168  |  Size: 3.5 KiB

+ 91
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errorsdoc.htm View File

@ -0,0 +1,91 @@
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
<html>
<!--(==============================================================)-->
<!--(Document created with RoboEditor. )============================-->
<!--(==============================================================)-->
<head>
<title>Errors</title>
<!--(Meta)==========================================================-->
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
<meta name=generator-major-version content=0.1>
<meta name=generator-minor-version content=1>
<meta name=filetype content=kadov>
<meta name=filetype-version content=1>
<meta name=page-count content=1>
<meta name=layout-height content=715>
<meta name=layout-width content=798>
<meta name=date content="05 1, 2002 4:22:26 PM">
<style>
<!--
p.whs1 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs2 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs3 {font-family: arial, sans-serif; font-size: 10pt;}
--></style><script language="javascript" title="WebHelpInlineScript">
<!--
function reDo() {
if (innerWidth != origWidth || innerHeight != origHeight)
location.reload();
}
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
origWidth = innerWidth;
origHeight = innerHeight;
onresize = reDo;
}
//-->
</script><style>
<!--
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
p.WebHelpNavBar {text-align:right;}
-->
</style>
</head>
<!--(Body)==========================================================-->
<body>
<h1>Errors/Warnings</h1>
<p class="whs1">The Errors/Warnings
section of the report lists all of the error, warning, and information
messages generated by the fitter. By default, this section will display
the number of each kind of message you have and the full text of the messages,
but checkboxes at the top of the screen allow you to filter message details
as you choose. </p>
<p class="whs2">Checking all
the boxes will give you a display like this:</p>
<p><SCRIPT LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='xml6.jpg' x-maintain-ratio='TRUE' width='540' height='254' border='0'>");}
else
{ document.write("<img src='xml6.jpg' x-maintain-ratio='TRUE' style='border: none; width: 540px; height: 254px; float: none;' width='540' height='254' border='0'>");}
//--></SCRIPT></p>
<p class="whs3">Deselecting
the Warning box in this particular example would result in this less detailed
display:</p>
<p><SCRIPT LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='xml7.jpg' x-maintain-ratio='TRUE' width='576' height='226' border='0'>");}
else
{ document.write("<img src='xml7.jpg' x-maintain-ratio='TRUE' style='border: none; width: 576px; height: 226px; float: none;' width='576' height='226' border='0'>");}
//--></SCRIPT></p>
</body>
</html>

+ 13
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/errs.htm View File

@ -0,0 +1,13 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="errors.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="error" class="pgRef"><h3 align="center">Errors and Warnings</h3>
<b>There are 0 error(s), 1 warning(s), and 0 information.</b><br><br><table width="90%" border="1" cellpadding="0" cellspacing="0"><tr><td>[Warning]:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'counta.ise'.</td></tr></table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form>
</body>
</html>

+ 33
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtable.htm View File

@ -0,0 +1,33 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="maplogic.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd">
<h3 align="center">Failure Table</h3>
<table width="90%" border="1" cellpadding="0" cellspacing="0"><tr class="pgHeader">
<th align="left">Signal Name</th>
<th align="left">FB1</th>
<th align="left">FB2</th>
<th align="left">FB3</th>
<th align="left">FB4</th>
</tr></table>
<table width="90%" border="1" cellpadding="0" cellspacing="0"><table width="90%" border="1" cellpadding="0" cellspacing="0">
<tr><td>Legend:</td></tr>
<tr><td> ce - signal clock enable cannot be placed</td></tr>
<tr><td> clk - signal clock cannot be placed</td></tr>
<tr><td> fbi - insufficient function block inputs available to place signal</td></tr>
<tr><td> io - insufficient I/O pins available to place output</td></tr>
<tr><td> loc - signal cannot be placed in this FB because it is assigned to a different FB</td></tr>
<tr><td> mc - insufficient macrocells available to place signal</td></tr>
<tr><td> oe - signal output enable cannot be placed</td></tr>
<tr><td> pt - insufficient product terms available to place signal</td></tr>
<tr><td> sr - signal set/reset cannot be placed</td></tr>
<tr><td> unk - unknown reason for failure - Please contact Xilinx Support</td></tr>
</table></table>
</body>
<form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form>
</html>

+ 1
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtable.js View File

@ -0,0 +1 @@
function showFailTable() { parent.leftnav.showFailTable(); }

+ 95
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/failtabledoc.htm View File

@ -0,0 +1,95 @@
<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1">
<meta name="generator" content="RoboHELP by eHelp Corporation - www.ehelp.com">
<meta name="generator-major-version" content="0.1">
<meta name="generator-minor-version" content="1">
<meta name="filetype" content="kadov">
<meta name="filetype-version" content="1">
<meta name="page-count" content="1">
<meta name="layout-height" content="1506">
<meta name="layout-width" content="639">
<meta name="date" content="05 24, 2002 5:49:09 PM">
<meta name="GENERATOR" content="Mozilla/4.79 [en]C-CCK-MCD (Windows NT 5.0; U) [Netscape]">
<meta name="Author" content="gitu jain">
<title>Failure Table</title>
<!--(==============================================================)-->
<!--(Document created with RoboEditor. )============================-->
<!--(==============================================================)-->
<!--(Meta)==========================================================-->
<style>
<!--
ul.whs1 {list-style: disc;}
p.whs2 {margin-left: 80px;}
ul.whs3 {list-style: disc;}
p.whs4 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs5 {list-style: disc;}
p.whs6 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
p.whs7 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs8 {list-style: disc;}
p.whs9 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
p.whs10 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs11 {list-style: disc;}
--></style>
<script language="javascript" title="WebHelpInlineScript">
<!--
function reDo() {
if (innerWidth != origWidth || innerHeight != origHeight)
location.reload();
}
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
origWidth = innerWidth;
origHeight = innerHeight;
onresize = reDo;
}
//-->
</script>
<style>
<!--
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
p.WebHelpNavBar {text-align:right;}
-->
</style>
<!--(Body)==========================================================-->
</head>
<body>
<h1>
Failure Table</h1>
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
Failure Table section provides a table listing all logic failing to be
placed as well as the cause for failure to fit for each individual Function
Block. </font>The user can use this table to determine primary cause of
failure and try to correct it.</span><!--begin!kadov{{-->
<br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><!--begin!kadov{{-->
<br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><span
style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
Failure Table contains the following:&nbsp;</font></span>
<ul type="disc" class="whs1">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
signal name&nbsp;</font></span></li>
</ul>
<div class="whs2"><span style="font-weight: bold;
font-family: arial, sans-serif;
font-size: 10pt;"><font size=-1><b>Note:</span><span
style="font-family: arial, sans-serif; font-size: 10pt;"></b>
Clicking on the signal name will open a new window with the equations for
that signal.&nbsp;</font></span></div>
<ul type="disc" class="whs3">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>A
column for each Function Block in device, with reason for failure to fit
for each FB</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>A
legend at the bottom listing all possible reasons for failure</font></span></li>
</ul>
</body>
</html>

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fb.gif View File

Before After
Width: 497  |  Height: 377  |  Size: 11 KiB

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fb1.gif View File

Before After
Width: 482  |  Height: 378  |  Size: 7.7 KiB

+ 49
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs.htm View File

@ -0,0 +1,49 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="fbsum" class="pgRef"><h3 align="center">Function Blocks</h3>
<table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th>Function Block</th>
<th>Macrocells Used/Total</th>
<th>Function Block Inputs Used/Total</th>
<th>Product Terms Used/Total</th>
<th>Pins Used/Total</th>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">7 / 18</td>
<td align="center">25 / 54</td>
<td align="center">47 / 90</td>
<td align="center">7 / 9</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">11 / 18</td>
<td align="center">22 / 54</td>
<td align="center">57 / 90</td>
<td align="center">0 / 9</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">18 / 18</td>
<td align="center">22 / 54</td>
<td align="center">84 / 90</td>
<td align="center">2 / 9</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">6 / 18</td>
<td align="center">17 / 54</td>
<td align="center">39 / 90</td>
<td align="center">2 / 7</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form>
</body>
</html>

+ 9
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs.js View File

@ -0,0 +1,9 @@
function showFBApplet(fb) { parent.leftnav.showAppletFB(fb); }
function showFB(fb) { parent.leftnav.showFB(fb); }
function showMC(mc) { parent.leftnav.showAppletMC(mc); }
function showPT(pterm, type) { parent.leftnav.showPterm(pterm, type); }
function showPin(pin) { parent.leftnav.showAppletPin(pin); }
function showEqn(sig) { parent.leftnav.showEqn(sig); }
function showFBDetail(fb) { parent.leftnav.showFB(fb); }
function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); }
function showTop() { parent.leftnav.showTop(); }

+ 248
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB1.htm View File

@ -0,0 +1,248 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1" selected>FB1</option>
<option value="FB2">FB2</option>
<option value="FB3">FB3</option>
<option value="FB4">FB4</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">39</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">40</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('LED0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;0&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB1_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB1_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB1_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB1_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">41</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('LED1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;1&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB1_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB1_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB1_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB1_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB1_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB1_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">42</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('LED2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;2&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB1_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB1_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB1_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB1_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB1_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">43</td>
<td width="8%" align="center">I/O/GCK1</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('LED3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;3&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB1_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB1_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB1_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB1_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB1_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">44</td>
<td width="8%" align="center">I/O/GCK2</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('LED4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;4&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB1_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB1_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB1_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB1_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB1_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">1</td>
<td width="8%" align="center">I/O/GCK3</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('LED5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;5&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB1_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB1_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB1_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">2</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('LED6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;6&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB1_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB1_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB1_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">3</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>HZIN</li>
<li><a href="Javascript:showEqn('LED0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('LED1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('LED2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('LED3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('LED4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('LED5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('LED6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('LED7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;7&gt;</a></li>
<li>XSTALIN</li>
<li><a href="Javascript:showEqn('alreadystoredcnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">alreadystoredcnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;8&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;9&gt;</a></li>
<li><a href="Javascript:showEqn('resetclk0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">resetclk&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta13_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;13&gt;</a></li>
<li><a href="Javascript:showEqn('uartctr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('uartctr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('uartctr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('uartctr3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('uartctr4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('uartskip0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartskip&lt;0&gt;</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB2')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next"></td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

+ 253
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB2.htm View File

@ -0,0 +1,253 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1">FB1</option>
<option value="FB2" selected>FB2</option>
<option value="FB3">FB3</option>
<option value="FB4">FB4</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">29</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">30</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">31</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;9&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB2_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB2_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB2_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB2_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">32</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;8&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB2_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB2_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB2_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB2_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">33</td>
<td width="8%" align="center">I/O/GSR</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;7&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB2_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB2_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB2_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB2_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;6&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB2_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB2_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB2_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB2_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">34</td>
<td width="8%" align="center">I/O/GTS2</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;5&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB2_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB2_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB2_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB2_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;4&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB2_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB2_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB2_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB2_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;3&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB2_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB2_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB2_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB2_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">36</td>
<td width="8%" align="center">I/O/GTS1</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;12&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB2_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB2_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB2_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB2_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">37</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;11&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB2_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB2_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB2_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB2_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;10&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB2_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB2_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB2_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB2_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">38</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta13_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;13&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB2_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB2_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB2_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB2_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB2_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB2_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>HZIN</li>
<li><a href="Javascript:showEqn('LED6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('LED7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;7&gt;</a></li>
<li>XSTALIN</li>
<li><a href="Javascript:showEqn('alreadystoredcnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">alreadystoredcnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;10&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;11&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;12&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;8&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;9&gt;</a></li>
<li><a href="Javascript:showEqn('resetclk0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">resetclk&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta13_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;13&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta14_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;14&gt;</a></li>
<li><a href="Javascript:showEqn('uartskip0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartskip&lt;0&gt;</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">
<input type="button" onclick="javascript:showFB('FB1')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev">
  
<input type="button" onclick="javascript:showFB('FB3')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next">
</td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

+ 260
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB3.htm View File

@ -0,0 +1,260 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1">FB1</option>
<option value="FB2">FB2</option>
<option value="FB3" selected>FB3</option>
<option value="FB4">FB4</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('alreadystoredcnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">alreadystoredcnt&lt;0&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('LED7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;7&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB3_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB3_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a>
</td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">5</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('uartskip0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartskip&lt;0&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB3_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;0&gt;</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB3_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB3_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('TX')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TX</a></td>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB3_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB3_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB3_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB3_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB3_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">6</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('uartctr4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;4&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB3_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB3_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('uartctr3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;3&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB3_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB3_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB3_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('uartctr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;2&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB3_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">7</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('uartctr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB3_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">8</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('uartctr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;0&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;2&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB3_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB3_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB3_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB3_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">12</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('clkcounta1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;1&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB3_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB3_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB3_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB3_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;2&gt;</a></td>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB3_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB3_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB3_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;1&gt;</a></td>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB3_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">13</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('resetclk0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">resetclk&lt;0&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">14</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;5&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB3_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">18</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;4&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB3_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB3_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB3_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">16</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;3&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB3_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB3_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>HZIN</li>
<li><a href="Javascript:showEqn('LED6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('LED7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('TX')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TX</a></li>
<li>XSTALIN</li>
<li><a href="Javascript:showEqn('alreadystoredcnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">alreadystoredcnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('resetclk0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">resetclk&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('uartctr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('uartctr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('uartctr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('uartctr3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('uartctr4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('uartskip0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartskip&lt;0&gt;</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">
<input type="button" onclick="javascript:showFB('FB2')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev">
  
<input type="button" onclick="javascript:showFB('FB4')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next">
</td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

+ 239
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FB4.htm View File

@ -0,0 +1,239 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="tooltips.js"></script><script src="fbs.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="fbsel" class="pgRef"><table cellspacing="0" cellpadding="0" border="0" width="90%" align="center"><tr>
<td width="33%" valign="center" align="left"></td>
<td width="33%" valign="center" align="center"><form name="fbopt"><select onchange="javascript:showFB(document.fbopt.fbType.options[document.fbopt.fbType.options.selectedIndex].value)" name="fbType"><option value="FB1">FB1</option>
<option value="FB2">FB2</option>
<option value="FB3">FB3</option>
<option value="FB4" selected>FB4</option></select></form></td>
<td width="33%" valign="center" align="right"></td>
</tr></table></span><div><span id="fbdata" class="pgRef"><table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="10%">Signal Name</th>
<th width="10%">Total Product Terms</th>
<th width="30%">Product Terms</th>
<th width="10%">Location</th>
<th width="10%">Power Mode</th>
<th width="10%">Pin Number</th>
<th width="10%">PinType</th>
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta14_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;14&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB4_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB4_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB4_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB4_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB4_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB4_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC2</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">19</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">20</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'XSTALIN'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">21</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'HZIN'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">22</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta18_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;18&gt;</a></td>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB4_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB4_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta17_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;17&gt;</a></td>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB4_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB4_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB4_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">23</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta16_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;16&gt;</a></td>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB4_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB4_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB4_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a>
</td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">27</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;6&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB4_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">28</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('storecounta15_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;15&gt;</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB4_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB4_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB4_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>HZIN</li>
<li><a href="Javascript:showEqn('LED0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('LED6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('LED7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;7&gt;</a></li>
<li>XSTALIN</li>
<li><a href="Javascript:showEqn('alreadystoredcnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">alreadystoredcnt&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;11&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;12&gt;</a></li>
<li><a href="Javascript:showEqn('clkcounta3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('resetclk0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">resetclk&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta14_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;14&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta15_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;15&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta16_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;16&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta17_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;17&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta18_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;18&gt;</a></li>
<li><a href="Javascript:showEqn('storecounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;6&gt;</a></li>
<li><a href="Javascript:showEqn('uartskip0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartskip&lt;0&gt;</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB3')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev"></td></tr></table></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

+ 310
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbs_FBdoc.htm View File

@ -0,0 +1,310 @@
<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1">
<meta name="generator" content="RoboHELP by eHelp Corporation - www.ehelp.com">
<meta name="generator-major-version" content="0.1">
<meta name="generator-minor-version" content="1">
<meta name="filetype" content="kadov">
<meta name="filetype-version" content="1">
<meta name="page-count" content="1">
<meta name="layout-height" content="3556">
<meta name="layout-width" content="670">
<meta name="date" content="05 24, 2002 6:03:49 PM">
<meta name="GENERATOR" content="Mozilla/4.79 [en]C-CCK-MCD (Windows NT 5.0; U) [Netscape]">
<title>Function Block Specifics</title>
<!--(==============================================================)-->
<!--(Document created with RoboEditor. )============================-->
<!--(==============================================================)-->
<!--(Meta)==========================================================-->
<style>
<!--
p.whs1 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs2 {font-family: arial, sans-serif; font-size: 12pt;}
p.whs3 {font-family: arial, sans-serif; font-size: 12pt;}
h4.whs4 {font-family: arial, sans-serif;}
ul.whs5 {list-style: disc;}
p.whs6 {margin-left: 80px;}
ul.whs7 {list-style: disc;}
p.whs8 {margin-left: 80px;}
ul.whs9 {list-style: disc;}
p.whs10 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
p.whs11 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs12 {list-style: disc;}
ul.whs13 {list-style: disc;}
p.whs14 {margin-left: 80px;}
p.whs15 {font-weight: bold; font-family: arial, sans-serif; font-size: 10pt; margin-left: 120px;}
ul.whs16 {list-style: disc;}
p.whs17 {margin-left: 80px;}
p.whs18 {margin-left: 80px;}
h4.whs19 {font-family: arial, sans-serif;}
p.whs20 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs21 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs22 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs23 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs24 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs25 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs26 {font-family: arial, sans-serif; font-size: 10pt;}
ul.whs27 {list-style: disc;}
p.whs28 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs29 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs30 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs31 {font-family: arial, sans-serif; font-size: 10pt;}
p.whs32 {font-family: arial, sans-serif; font-size: 10pt;}
--></style>
<script language="javascript" title="WebHelpInlineScript">
<!--
function reDo() {
if (innerWidth != origWidth || innerHeight != origHeight)
location.reload();
}
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
origWidth = innerWidth;
origHeight = innerHeight;
onresize = reDo;
}
//-->
</script>
<style>
<!--
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
p.WebHelpNavBar {text-align:right;}
-->
</style>
<!--(Body)==========================================================-->
</head>
<body>
<h1>
Function Block Specifics</h1>
<div class="whs1">To access specific details for a particular function
block, click on that function block in either the <a href="maplogicdoc.htm">Mapped
Logic</a>, <a href="mapinputdoc.htm">Mapped Inputs</a>, or <a href="fbsdoc.htm" style="font-family: arial, sans-serif; font-size: 10pt;">Function
Blocks</a> sections of the fitter report.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->The
function block details page displays a table of details about the particular
function block you selected, a view button you can click to show a graphical
display of the function block, and a pulldown menu you can use to select
other function blocks to see.</div>
<p class="whs2">The Table
<p class="whs3">The View
<p><script LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='xml5.jpg' x-maintain-ratio='TRUE' width='648' height='397' border='0'>");}
else
{ document.write("<img src='xml5.jpg' x-maintain-ratio='TRUE' style='border: none; width: 648px; height: 397px; float: none;' width='648' height='397' border='0'>");}
//--></script>
<h4 class="whs4">
<a NAME="table"></a>The Table</h4>
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
table at the top of the function block details page provides the following
information about the function block:</font></span>
<ul type="disc" class="whs5">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
signal name&nbsp;</font></span></li>
</ul>
<div class="whs6"><span style="font-weight: bold;
font-family: arial, sans-serif;
font-size: 10pt;"><font size=-1><b>Note:</span><span
style="font-family: arial, sans-serif; font-size: 10pt;"></b>
Clicking on a signal name will open a new window with the equations for
that signal.&nbsp;</font></span></div>
<ul type="disc" class="whs7">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
total product terms used&nbsp;</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><!--kadov_tag{{<spaces>}}--><font size=-1>&nbsp;<!--kadov_tag{{</spaces>}}-->A
list of product terms</font></span></li>
</ul>
<div class="whs8"><span style="font-weight: bold;
font-family: arial, sans-serif;
font-size: 10pt;"><font size=-1><b>Note:</span><span
style="font-family: arial, sans-serif; font-size: 10pt;"></b>
Clicking on a&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->product
term will open a new window with the equations for that term.&nbsp;</font></span></div>
<ul type="disc" class="whs9">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
macrocell number in which the function block is located</font></span></li>
</ul>
<div class="whs10"><span style="font-family: arial, sans-serif; font-size: 10pt;"><span
style="font-weight: bold;"><font size=-1><b>Note:</span></b>
Clicking on the underscored macrocell number will provide a graphical display
of the macrocell that looks like this:</font></span></div>
<p class="whs11"><script LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' width='540' height='420' border='0'>");}
else
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' style='border: none; width: 540px; height: 420px; float: none;' width='540' height='420' border='0'>");}
//--></script>
.
<ul type="disc" class="whs12">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
power mode</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
pin number - an asterisk "*" indicates a user assignment</font></span></li>
</ul>
<ul type="disc" class="whs13">
<div class="whs14"><span style="font-family: arial, sans-serif; font-size: 10pt;"><span
style="font-weight: bold;"><font size=-1><b>N</span></b>ote:</span><span style="font-family: arial, sans-serif; font-size: 10pt;">
Clicking on the underscored pin number will provide the pin layout diagram
for the highlighted pin.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->Rolling
your mouse over the colored pin will pop up a tooltip with the signal name
assigned to the pin, the I/O standard,&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->the
I/O style, the slew rate, and/or any constraints assigned to the pin:</font></span></div>
</ul>
<div class="whs15"><script LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' width='309' height='312' border='0'>");}
else
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' style='border: none; width: 309px; height: 312px; float: none;' width='309' height='312' border='0'>");}
//--></script>
</div>
<ul type="disc" class="whs16">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
pin type</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
pin use&nbsp;</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1><i>XPLA3
only</i> - The GCK (Global Clock Signal) mapping&nbsp;</font></span></li>
</ul>
<div class="whs17"><span style="font-weight: bold;
font-family: arial, sans-serif;
font-size: 10pt;"><font size=-1><b>Note:</span><span
style="font-family: arial, sans-serif; font-size: 10pt;"></b>
Moving your mouse cursor over an "I" in the Pin Use column will display
that input signal as a tooltip.</font></span></div>
<br><span style="font-family: arial, sans-serif; font-size: 10pt;">
<br><span style="font-family: arial, sans-serif; font-size: 10pt;"><i>XBR
only</i> - Below the resource table there is another table listing the
<b>Function Block Control Term</b> usage, the product term mapped to the
control term is listed. Clicking on the product term will bring up a pop-up
window displaying that product term.
<blockquote>
<li>
CTC - control term clock</li>
<li>
CTR - control term reset</li>
<li>
CTS - control term set</li>
<li>
CTE - control term output enable</li>
</blockquote>
<p><br><span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>Below
this table you will find a list of signals used by logic in the function
block you are viewing.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->The
list displays output signals as links.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->Clicking
on an output signal link will open a new window showing the equations for
that signal.</font></span><!--begin!kadov{{-->
<br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}-->
<p class="whs18"><span style="font-family: arial, sans-serif;
font-size: 10pt;
font-weight: bold;"><font size=-1><b>Note:</span><span
style="font-family: arial, sans-serif; font-size: 10pt;"><!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}--></b>There
is also a&nbsp;<script LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='legend.gif' x-maintain-ratio='TRUE' width='68' height='28' border='0'>");}
else
{ document.write("<img src='legend.gif' x-maintain-ratio='TRUE' style='border: none; width: 68px; height: 28px; float: none;' width='68' height='28' border='0'>");}
//--></script>
button
below the table.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->Click
this button to open a new window describing all of the acronyms used in
the function block table.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->You
can select either brief descriptions or more detailed descriptions by clicking
the "Verbose" button at the top of the window.</font></span>
<h4 class="whs19">
<a NAME="view"></a>The View</h4>
<div class="whs20">When you click on the&nbsp;<script LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='view.gif' x-maintain-ratio='TRUE' width='61' height='53' border='0'>");}
else
{ document.write("<img src='view.gif' x-maintain-ratio='TRUE' style='border: none; width: 61px; height: 53px; float: none;' width='61' height='53' border='0'>");}
//--></script>
button
above the table, a new window will open with a graphical display of the
function block you are examining.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->The
pins are all color-coded: input pins are green, output pins are blue, and
clocks are magenta:</div>
<p class="whs21"><script LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='fb1.gif' x-maintain-ratio='TRUE' width='482' height='378' border='0'>");}
else
{ document.write("<img src='fb1.gif' x-maintain-ratio='TRUE' style='border: none; width: 482px; height: 378px; float: none;' width='482' height='378' border='0'>");}
//--></script>
<p class="whs22">Right-click anywhere within the window to pull up a menu
that allows you to zoom in or out for easier viewing.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->
<p class="whs23">This menu also allows you choose to see all of the input
connections, all of the output connections, or both at once.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->Like
the pins, the signals are color-coded: inputs are red, outputs are yellow,
and macrocell connections are aqua:
<p class="whs24"><script LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='fb.gif' x-maintain-ratio='TRUE' width='497' height='377' border='0'>");}
else
{ document.write("<img src='fb.gif' x-maintain-ratio='TRUE' style='border: none; width: 497px; height: 377px; float: none;' width='497' height='377' border='0'>");}
//--></script>
<p class="whs25">To examine the signals of single pins, simply click the
pin whose signals you wish to see.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->To
examine multiple pins without having to see everything at once, hold down
the control key while you click the pins you want to view.
<p class="whs26">To view the signals for individual macrocells:
<ul type="disc" class="whs27">
<div class="whs28">Click the inside edge of the macrocell to display its
macrocell connections and inputs.</div>
<p class="whs29">Click the outer edge to display its output signals
<p class="whs30">Click in the center to display everything
<p class="whs31">Double click in the center to open a new window with a
detailed macrocell diagram</ul>
<div class="whs32"></div>
</body>
</html>

+ 103
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbsdoc.htm View File

@ -0,0 +1,103 @@
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
<html>
<!--(==============================================================)-->
<!--(Document created with RoboEditor. )============================-->
<!--(==============================================================)-->
<head>
<title>Function Blocks</title>
<!--(Meta)==========================================================-->
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
<meta name=generator-major-version content=0.1>
<meta name=generator-minor-version content=1>
<meta name=filetype content=kadov>
<meta name=filetype-version content=1>
<meta name=page-count content=1>
<meta name=layout-height content=405>
<meta name=layout-width content=615>
<meta name=date content="05 24, 2002 5:49:51 PM">
<style>
<!--
ul.whs1 {list-style: disc;}
--></style><script language="javascript" title="WebHelpInlineScript">
<!--
function reDo() {
if (innerWidth != origWidth || innerHeight != origHeight)
location.reload();
}
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
origWidth = innerWidth;
origHeight = innerHeight;
onresize = reDo;
}
//-->
</script><style>
<!--
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
p.WebHelpNavBar {text-align:right;}
-->
</style>
</head>
<!--(Body)==========================================================-->
<body>
<h1>Function Blocks</h1>
<span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The Function
Blocks page provides a summary of all function blocks' resources. Clicking
on one of the function blocks in the summary table will display the <a href="fbs_FBdoc.htm">specific details</a> for that function block. <!--kadov_tag{{<spaces>}}-->&nbsp;</FONT></span><!--kadov_tag{{</spaces>}}-->
<!--begin!kadov{{--><br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}-->&nbsp;
<!--begin!kadov{{--><br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><span
style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The summary table
contains the following: <!--kadov_tag{{<spaces>}}-->&nbsp;</FONT></span><!--kadov_tag{{</spaces>}}-->
<ul type="disc" class="whs1">
<li class=kadov-p
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
function block</FONT></span></li>
<li class=kadov-p
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
number of macrocell used </FONT></span></li>
<li class=kadov-p
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
number of function block inputs used </FONT></span></li>
<li class=kadov-p
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
number of product terms used</FONT></span></li>
<li class=kadov-p
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
pins used</FONT></span></li>
<li class=kadov-p
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
local control terms used</FONT></span></li>
<li class=kadov-p
class=kadov-p><span style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">The
number of foldback NANDs used (CoolRunner only)</FONT></span></li>
</ul>
</body>
</html>

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/fbview.jpg View File

Before After
Width: 47  |  Height: 44  |  Size: 2.6 KiB

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/functionblock.gif View File

Before After
Width: 552  |  Height: 360  |  Size: 22 KiB

+ 17
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/genmsg.htm View File

@ -0,0 +1,17 @@
<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1">
<title>genmsg</title>
</head>
<body>
&nbsp;
<br>&nbsp;
<dl>
<dd>
This file is currently being generated. Please recheck the link after some
time for this report data.</dd>
</dl>
</body>
</html>

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/header.gif View File

Before After
Width: 480  |  Height: 39  |  Size: 7.7 KiB

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/home.gif View File

Before After
Width: 63  |  Height: 29  |  Size: 940 B

+ 14
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/index.htm View File

@ -0,0 +1,14 @@
<html>
<head>
<title></title>
</head>
<frameset frameborder="NO" framespacing="0" border="0" rows="94,*,0,0" cols="*">
<frame name="topnav" src="../tim/topnav.htm" scrolling="no" noresize marginwidth="0" marginheight="0">
<frameset frameborder="NO" framespacing="0" border="0" cols="125,*">
<frame name="leftnav" src="leftnav.htm" noresize marginwidth="0" marginheight="0">
<frame name="content" src="summary.htm">
</frameset>
<frame name="eqns" src="eqns.htm" scrolling="no">
</frameset>
</html>

+ 14
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleft.htm View File

@ -0,0 +1,14 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="maplogic.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<span id="maplog" class="pgRef"><h3 align="center">Unmapped Inputs</h3>
<table width="90%" border="1" cellpadding="0" cellspacing="0"><tr class="pgHeader">
<th width="28%">Signal Name</th>
<th align="center">User Assignment</th>
</tr></table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form>
</html>

+ 1
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleft.js View File

@ -0,0 +1 @@
function showInputLeft() { parent.leftnav.showInputLeft(); }

+ 81
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/inputleftdoc.htm View File

@ -0,0 +1,81 @@
<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1">
<meta name="generator" content="RoboHELP by eHelp Corporation - www.ehelp.com">
<meta name="generator-major-version" content="0.1">
<meta name="generator-minor-version" content="1">
<meta name="filetype" content="kadov">
<meta name="filetype-version" content="1">
<meta name="page-count" content="1">
<meta name="layout-height" content="1506">
<meta name="layout-width" content="639">
<meta name="date" content="05 24, 2002 5:49:09 PM">
<meta name="GENERATOR" content="Mozilla/4.79 [en]C-CCK-MCD (Windows NT 5.0; U) [Netscape]">
<title>Mapped Logic</title>
<!--(==============================================================)-->
<!--(Document created with RoboEditor. )============================-->
<!--(==============================================================)-->
<!--(Meta)==========================================================-->
<style>
<!--
ul.whs1 {list-style: disc;}
p.whs2 {margin-left: 80px;}
ul.whs3 {list-style: disc;}
p.whs4 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs5 {list-style: disc;}
p.whs6 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
p.whs7 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs8 {list-style: disc;}
p.whs9 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
p.whs10 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs11 {list-style: disc;}
--></style>
<script language="javascript" title="WebHelpInlineScript">
<!--
function reDo() {
if (innerWidth != origWidth || innerHeight != origHeight)
location.reload();
}
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
origWidth = innerWidth;
origHeight = innerHeight;
onresize = reDo;
}
//-->
</script>
<style>
<!--
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
p.WebHelpNavBar {text-align:right;}
-->
</style>
<!--(Body)==========================================================-->
</head>
<body>
<h1>
Unmapped Inputs</h1>
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
Unmapped Inputs section provides a table listing all inputs that failed
to fit into the specified device. The page will appear in your browser
sorted by Signal Name.&nbsp;</font></span><!--begin!kadov{{-->
<br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><!--begin!kadov{{-->
<br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><span
style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
Unmapped Inputs table contains the following:&nbsp;</font></span>
<ul type="disc" class="whs1">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
input signal name&nbsp;</font></span></li>
</ul>
<ul type="disc" class="whs11">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
Pin/FB Assignment specified by the user.</font></span></li>
</ul>
</body>
</html>

+ 63
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/leftnav.htm View File

@ -0,0 +1,63 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script>var currEqnType = defEqnType = 1</script><script src="applet.js"></script><script src="leftnav.js"></script><link rel="stylesheet" type="text/css" href="../tim/toc.css">
</head>
<body bgcolor="#CCCCCC" text="#000000"><form name="options">
<span class="tocRef"><table width="105" border="0" cellspacing="0" cellpadding="0">
<tr><td colspan="2"><div align="left"><span class="HEADING">Fitter Report</span></div></td></tr>
<tr>
<td width="7"> </td>
<td><a href="javascript:showSummary();" class="SECONDARY-NAV" onmouseover="window.status='goto Summary'; return true;" onmouseout="window.status=''">Summary</a></td>
</tr>
<tr>
<td width="7"> </td>
<td><a href="javascript:showError(10);" class="SECONDARY-NAV" onmouseover="window.status='goto Errors/Warnings'; return true;" onmouseout="window.status=''">Errors/Warnings</a></td>
</tr>
<tr>
<td width="7"> </td>
<td><a href="javascript:showMappedLogics(0);" class="SECONDARY-NAV" onmouseover="window.status='goto Logic'; return true;" onmouseout="window.status=''">Logic</a></td>
</tr>
<tr>
<td width="7"> </td>
<td><a href="javascript:showMappedInputs(0);" class="SECONDARY-NAV" onmouseover="window.status='goto Inputs'; return true;" onmouseout="window.status=''">Inputs</a></td>
</tr>
<tr>
<td width="7"> </td>
<td><a href="javascript:showFBSum();" class="SECONDARY-NAV" onmouseover="window.status='goto Function Blocks Summary'; return true;" onmouseout="window.status=''">Function Blocks</a></td>
</tr>
<tr>
<td width="7"> </td>
<td><a href="javascript:showEqnAll();" class="SECONDARY-NAV" onmouseover="window.status='goto Equations'; return true;" onmouseout="window.status=''">Equations</a></td>
</tr>
<tr>
<td width="7"> </td>
<td><a href="javascript:showPinOut(10);" class="SECONDARY-NAV" onmouseover="window.status='goto Pin List'; return true;" onmouseout="window.status=''">Pin List</a></td>
</tr>
<tr>
<td width="7"> </td>
<td><a href="javascript:showOptions();" class="SECONDARY-NAV" onmouseover="window.status='goto Compiler Options'; return true;" onmouseout="window.status=''">Compiler Options</a></td>
</tr>
<tr>
<td width="7"> </td>
<td><a href="javascript:showAscii();" class="SECONDARY-NAV" onmouseover="window.status='goto Text Report'; return true;" onmouseout="window.status=''">Text Report</a></td>
</tr>
<tr>
<td width="7"> </td>
<td><a href="javascript:showHelp();" class="SECONDARY-NAV" onmouseover="window.status='goto Help'; return true;" onmouseout="window.status=''">Help</a></td>
</tr>
</table></span><div align="left">
<br><br><br><table width="105" border="0" cellspacing="0" cellpadding="0">
<tr><td colspan="2"><b><span class="HEADING">Equation Display Style</span></b></td></tr>
<tr>
<td width="7"> </td>
<td><select name="eqnType" onchange="doEqnFormat()"><option value="0">ABEL</option>
<option value="1" selected>VHDL</option>
<option value="2">Verilog</option></select></td>
</tr>
</table>
<br><b> </b>
</div>
<input type="hidden" name="info" value="1"><input type="hidden" name="warn" value="1"><input type="hidden" name="error" value="1"><input type="hidden" name="inOn" value="1"><input type="hidden" name="outOn" value="1"><input type="hidden" name="glbOn" value="1"><input type="hidden" name="ispOn" value="1"><input type="hidden" name="vccOn" value="1"><input type="hidden" name="gndOn" value="1"><input type="hidden" name="prohibitOn" value="1"><input type="hidden" name="unuseOn" value="1"><input type="hidden" name="ncOn" value="1"><input type="hidden" name="htmlStr" value=""><input type="hidden" name="pinStr" value=""><input type="hidden" name="eqnStr" value=""><input type="hidden" name="errStr" value=""><input type="hidden" name="pinSel" value="0"><input type="hidden" name="currPage" value="summary">
</form></body>
</html>

+ 180
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/leftnav.js View File

@ -0,0 +1,180 @@
var noAppletOnClicked = 1;
var appletMsg = "";
var waitWin;
var oldIn = oldOut = oldGbl = oldIsp = oldVcc = oldGnd = oldProhibit = oldUnuse = oldNc = 1;
var oldInfo = oldWarn = oldError = 1;
var verbose = 0;
var dispPage, mapLogPage, mapInPage, unLogPage, unInPage;
var javaPermission = 0;
var abelEqn = vhdlEqn = verEqn = "";
function IsNS() {
return ((navigator.appName.indexOf("Netscape") >= 0) &&
(parseFloat(navigator.appVersion) >= 4)) ? true : false;
}
function openWait() {
waitWin = window.open("wait.htm", "wait",
"toolbar=no,location=no,"+
"directories=no,status=no,menubar=no,scrollbars=no,"+
"resizable=no,width=300,height=50" );
}
function closeWait() { if (waitWin) waitWin.close(); }
function popHTML(name, str) {
document.options.htmlStr.value = str;
if (name.indexOf(":") > -1)
name = name.substring(0,name.indexOf(":")) + "_COLON_" +
name.substring(name.indexOf(":")+1,name.length);
if (name.indexOf(".") > -1)
name = name.substring(0,name.indexOf(".")) + "_DOT_" +
name.substring(name.indexOf(".")+1,name.length);
var win = window.open("result.htm", "win_"+name,
"toolbar=no,location=no,"+
"directories=no,status=no,menubar=no,scrollbars=yes,"+
"resizable=yes,width=300,height=200" );
win.focus();
}
function setAppletPermission() { appletPermission = 1; }
function getAppletPermission() { return( appletPermission); }
function getAppletMsg() { return(appletMsg); }
function setAppletMsg(msg) { appletMsg = msg; }
function showHTML(page, html) {
dispPage = html;
document.options.currPage.value = page;
parent.content.location.href = html;
}
function showTop() { showHTML(document.options.currPage.value, dispPage); }
function setVerbose(value) { verbose = value; }
function showLegend(url, w, h) {
if (verbose == 1) {
url = url.substring(0,name.indexOf(".htm")) + "V.htm";
}
var win = window.open(url, 'win',
'toolbar=no,location=no,directories=no,status=no,menubar=no,scrollbars=yes,resizable=yes,width='+w+',height='+h);
win.focus();
}
function showSummary() { showHTML("summary", "summary.htm"); }
function showOptions() { showHTML("options", "options.htm"); }
function showFBSum() { showHTML("fbs", "fbs.htm"); }
function showFB(fb) { showHTML("fbs_FB", "fbs_"+fb+".htm"); }
function showPinOut() { showHTML("pins", "pins.htm"); }
function showError() { showHTML("errors", "errs.htm"); }
function showFailTable() { showHTML("failtable", "failtable.htm"); }
function showEqnAll() {
openWait();
parent.eqns.setOper(currEqnType);
if (currEqnType == defEqnType) showHTML("equations", "defeqns.htm");
else if (currEqnType == 0) {
if (abelEqn == "") abelEqn = parent.eqns.getEqnList();
document.options.htmlStr.value = abelEqn;
showHTML("equations", "equations.htm");
}
else if (currEqnType == 1) {
if (vhdlEqn == "") vhdlEqn = parent.eqns.getEqnList();
document.options.htmlStr.value = vhdlEqn;
showHTML("equations", "equations.htm");
}
else {
if (verEqn == "") verEqn = parent.eqns.getEqnList();
document.options.htmlStr.value = verEqn;
showHTML("equations", "equations.htm");
}
closeWait();
}
function showEqn(sig) {
popHTML(sig, parent.eqns.getEqn(sig));
}
function showPterm(pterm, type) {
popHTML(pterm, parent.eqns.getPterm(pterm, type));
}
function showAscii() { showHTML("ascii", "ascii.htm"); }
function showHelp() {
var helpDoc = document.options.currPage.value + "doc.htm";
popWin(helpDoc);
}
function getMapParam(type) {
var paramStr = "";
switch(type) {
case 1: paramStr += "10"; break;
case 2: paramStr += "01"; break;
case 3: paramStr += "11"; break;
case 4: paramStr += "02"; break;
case 5: paramStr += "12"; break;
default: paramStr += "00";
}
return paramStr;
}
function showMappedLogics(type) {
showHTML("maplogic", "maplogic_" + getMapParam(type) + ".htm");
}
function showMappedInputs(type) {
showHTML("mapinput", "mapinput_" + getMapParam(type) + ".htm");
}
function showUnMappedLogics(type) {
showHTML("unmaplogic", "unmaplogic_" + getMapParam(type) + ".htm");
}
function showLogicLeft() { showHTML("logicleft", "logicleft.htm"); }
function showUnMappedInputs(type) {
showHTML("unmapinput", "unmapinput_" + getMapParam(type) + ".htm");
}
function showInputLeft() { showHTML("inputleft", "inputleft.htm"); }
function doEqnFormat() {
var type = document.options.eqnType.options[document.options.eqnType.options.selectedIndex].value;
currEqnType = type;
parent.eqns.setOper(currEqnType);
if (document.options.currPage.value == "equations") showEqnAll();
}
function showNoAppletAlert() {
window.alert("No Applet supported for this session!!!");
}
function showAppletMC(mc) {
if (parent.applets) parent.applets.showAppletGraphicMC(mc);
else showNoAppletAlert();
}
function showAppletFB(fb) {
if (parent.applets) parent.applets.showAppletGraphicFB(fb);
else showNoAppletAlert();
}
function showAppletPin(pin) {
if (parent.applets) parent.applets.showAppletGraphicPin(pin);
else showNoAppletAlert();
}
function printAppletPkg() {
if (parent.applets) parent.applets.printAppletPkg();
else showNoAppletAlert();
}
function popWin(url) {
var win = window.open(url, 'win',
'location=yes,directories=yes,menubar=yes,toolbar=yes,status=yes,scrollbars=yes,resizable=yes,width=800,height=600');
win.focus();
}

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/legend.gif View File

Before After
Width: 68  |  Height: 28  |  Size: 1.2 KiB

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/legend.jpg View File

Before After
Width: 61  |  Height: 21  |  Size: 1.2 KiB

+ 2
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXC95.htm View File

@ -0,0 +1,2 @@
<html><body><pre>
</pre></body></html>

+ 16
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXbr.htm View File

@ -0,0 +1,16 @@
<html><body><pre>
I/O Style - OD - OpenDrain
- PU - Pullup
- PN - Pulldown
- KPR - Keeper
- S - SchmittTrigger
- DG - DataGate
Reg Use - LATCH - Transparent latch
- DFF - D-flip-flop
- DEFF - D-flip-flop with clock enable
- TFF - T-flip-flop
- TDFF - Dual-edge-triggered T-flip-flop
- DDFF - Dual-edge-triggered flip-flop
- DDEFF - Dual-edge-triggered flip-flop with clock enable
/S (after any above flop/latch type) indicates initial state is Set
</pre></body></html>

+ 3
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logic_legXpla3.htm View File

@ -0,0 +1,3 @@
<html><body><pre>
Legend: PU - Pull Up
</pre></body></html>

+ 16
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleft.htm View File

@ -0,0 +1,16 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="maplogic.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<span id="maplog" class="pgRef"><h3 align="center">Unmapped Logic</h3>
<table width="90%" border="1" cellpadding="0" cellspacing="0"><tr class="pgHeader">
<th width="28%">Signal Name</th>
<th align="center">Total Pterms</th>
<th align="center">Total Inputs</th>
<th align="center">User Assignment</th>
</tr></table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form>
</html>

+ 1
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleft.js View File

@ -0,0 +1 @@
function showLogicLeft() { parent.leftnav.showLogicLeft(); }

+ 100
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logicleftdoc.htm View File

@ -0,0 +1,100 @@
<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1">
<meta name="generator" content="RoboHELP by eHelp Corporation - www.ehelp.com">
<meta name="generator-major-version" content="0.1">
<meta name="generator-minor-version" content="1">
<meta name="filetype" content="kadov">
<meta name="filetype-version" content="1">
<meta name="page-count" content="1">
<meta name="layout-height" content="1506">
<meta name="layout-width" content="639">
<meta name="date" content="05 24, 2002 5:49:09 PM">
<meta name="GENERATOR" content="Mozilla/4.79 [en]C-CCK-MCD (Windows NT 5.0; U) [Netscape]">
<title>Mapped Logic</title>
<!--(==============================================================)-->
<!--(Document created with RoboEditor. )============================-->
<!--(==============================================================)-->
<!--(Meta)==========================================================-->
<style>
<!--
ul.whs1 {list-style: disc;}
p.whs2 {margin-left: 80px;}
ul.whs3 {list-style: disc;}
p.whs4 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs5 {list-style: disc;}
p.whs6 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
p.whs7 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs8 {list-style: disc;}
p.whs9 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
p.whs10 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs11 {list-style: disc;}
--></style>
<script language="javascript" title="WebHelpInlineScript">
<!--
function reDo() {
if (innerWidth != origWidth || innerHeight != origHeight)
location.reload();
}
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
origWidth = innerWidth;
origHeight = innerHeight;
onresize = reDo;
}
//-->
</script>
<style>
<!--
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
p.WebHelpNavBar {text-align:right;}
-->
</style>
<!--(Body)==========================================================-->
</head>
<body>
<h1>
Unmapped Logic</h1>
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
Unmapped Logic section provides a table listing all logic that failed to
fit into the specified device. The page will appear in your browser sorted
by Signal Name.&nbsp;</font></span><!--begin!kadov{{-->
<br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><!--begin!kadov{{-->
<br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><span
style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
Mapped Logic table contains the following:&nbsp;</font></span>
<ul type="disc" class="whs1">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
signal name&nbsp;</font></span></li>
</ul>
<div class="whs2"><span style="font-weight: bold;
font-family: arial, sans-serif;
font-size: 10pt;"><font size=-1><b>Note:</span><span
style="font-family: arial, sans-serif; font-size: 10pt;"></b>
Clicking on the signal name will open a new window with the equations for
that signal.&nbsp;</font></span></div>
<ul type="disc" class="whs3">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
total number of product terms&nbsp;</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
total number of inputs&nbsp;</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
I/O standard where appropriate</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
Pin/FB Assignment specified by the user.</font></span></li>
</ul>
</body>
</html>

+ 106
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logiclegend.htm View File

@ -0,0 +1,106 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<link rel="stylesheet" type="text/css" href="style.css">
<script>
function showTop() { document.location.href = '#'; }
</script>
</head>
<body class="pgBgnd">
<span id="legend" class="pgRef"><h3 align="center">Legends</h3>
<table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td align="right"><form><input type="button" onclick="javascript:document.location.href='logiclegendV.htm'" value="verbose"></form></td></tr></table>
<table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr>
<th width="20%">Acronym</th>
<th width="80%">Brief Description</th>
</tr>
<tr>
<td width="20%"> *</td>
<td width="80%"> User Assigned</td>
</tr>
<tr>
<td width="20%"> (b)</td>
<td width="80%"> Buried macrocell</td>
</tr>
<tr>
<td width="20%"> FB#</td>
<td width="80%"> Function Block number </td>
</tr>
<tr>
<td width="20%"> GCK#</td>
<td width="80%"> Global Clock number</td>
</tr>
<tr>
<td width="20%"> GTS#</td>
<td width="80%"> Global Output Enable number</td>
</tr>
<tr>
<td width="20%"> GSR</td>
<td width="80%"> Global Set/Reset</td>
</tr>
<tr>
<td width="20%"> I</td>
<td width="80%"> Input</td>
</tr>
<tr>
<td width="20%"> I/O</td>
<td width="80%"> Input/Output</td>
</tr>
<tr>
<td width="20%"> Latch</td>
<td width="80%"> Transparent latch</td>
</tr>
<tr>
<td width="20%"> LOW</td>
<td width="80%"> Low Power Mode</td>
</tr>
<tr>
<td width="20%"> MC#</td>
<td width="80%"> Macrocell number</td>
</tr>
<tr>
<td width="20%"> O</td>
<td width="80%"> Output</td>
</tr>
<tr>
<td width="20%"> OD</td>
<td width="80%"> Open Drain</td>
</tr>
<tr>
<td width="20%"> PU</td>
<td width="80%"> Pullup</td>
</tr>
<tr>
<td width="20%"> /S</td>
<td width="80%"> After any flop/latch type indicates initial state is Set</td>
</tr>
<tr>
<td width="20%"> STD</td>
<td width="80%"> Standard Power Mode</td>
</tr>
<tr>
<td width="20%"> TCK</td>
<td width="80%"> Test clock</td>
</tr>
<tr>
<td width="20%"> TDI</td>
<td width="80%"> Test data input</td>
</tr>
<tr>
<td width="20%"> TDO</td>
<td width="80%"> Test data output</td>
</tr>
<tr>
<td width="20%"> TFF</td>
<td width="80%"> Toggle Flip-Flop</td>
</tr>
<tr>
<td width="20%"> TMS</td>
<td width="80%"> Test mode select</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form>
</body>
</html>

+ 106
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/logiclegendV.htm View File

@ -0,0 +1,106 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<link rel="stylesheet" type="text/css" href="style.css">
<script>
function showTop() { document.location.href = '#'; }
</script>
</head>
<body class="pgBgnd">
<span id="legend" class="pgRef"><h3 align="center">Legends</h3>
<table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td align="right"><form><input type="button" onclick="javascript:document.location.href='logiclegend.htm'" value="brief"></form></td></tr></table>
<table align="center" width="90%" border="1" cellpadding="0" cellspacing="0">
<tr>
<th width="20%">Acronym</th>
<th width="80%">Verbose Description</th>
</tr>
<tr>
<td width="20%"> *</td>
<td width="60%"> User Assigned</td>
</tr>
<tr>
<td width="20%"> (b)</td>
<td width="60%"> Buried macrocell</td>
</tr>
<tr>
<td width="20%"> FB#</td>
<td width="60%"> Function Block number</td>
</tr>
<tr>
<td width="20%"> GCK#</td>
<td width="60%"> Global Clock number</td>
</tr>
<tr>
<td width="20%"> GTS#</td>
<td width="60%"> Global Output Enable number</td>
</tr>
<tr>
<td width="20%"> GSR</td>
<td width="60%"> Global Set/Reset</td>
</tr>
<tr>
<td width="20%"> I</td>
<td width="60%"> Input</td>
</tr>
<tr>
<td width="20%"> I/O</td>
<td width="60%"> Input/Output</td>
</tr>
<tr>
<td width="20%"> Latch</td>
<td width="60%"> Transparent latch</td>
</tr>
<tr>
<td width="20%"> LOW</td>
<td width="60%"> Low Power Mode</td>
</tr>
<tr>
<td width="20%"> MC#</td>
<td width="60%"> Macrocell number</td>
</tr>
<tr>
<td width="20%"> O</td>
<td width="60%"> Output</td>
</tr>
<tr>
<td width="20%"> OD</td>
<td width="60%"> Open Drain</td>
</tr>
<tr>
<td width="20%"> PU</td>
<td width="60%"> Pullup</td>
</tr>
<tr>
<td width="20%"> /S</td>
<td width="60%"> After any flop/latch type indicates initial state is Set</td>
</tr>
<tr>
<td width="20%"> STD</td>
<td width="60%"> Standard Power Mode</td>
</tr>
<tr>
<td width="20%"> TCK</td>
<td width="60%"> One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. Test operations of the device are synchronous to TCK. Data is captured on the rising edge of TCK and outputs change on the falling edge of TCK. An internal pull-up forces TCK to a high level if left unconnected.</td>
</tr>
<tr>
<td width="20%"> TDI</td>
<td width="60%"> One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial input for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level if left unconnected.</td>
</tr>
<tr>
<td width="20%"> TDO</td>
<td width="60%"> One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial output for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level when it is not driven from an external source.</td>
</tr>
<tr>
<td width="20%"> TFF</td>
<td width="60%"> Toggle Flip-Flop</td>
</tr>
<tr>
<td width="20%"> TMS</td>
<td width="60%"> One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It directs the device through its Test Access Port controller states. An internal pull-up forces TDI to a high level when it is not driven from an external source. TMS also provides the optional test reset signal of IEEE Std 1149 or IEEE Std 1532. </td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page"></td>
</tr></table></span></form>
</body>
</html>

BIN
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/macrocell.gif View File

Before After
Width: 540  |  Height: 420  |  Size: 7.5 KiB

+ 40
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_00.htm View File

@ -0,0 +1,40 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="maplogic.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="mapin" class="pgRef"><h3 align="center">Inputs</h3>
<table width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="60%"><a href="javascript:Sort(10);">Signal Name</a></th>
<th><a href="javascript:Sort(11);">Function Block</a></th>
<th align="center">Macrocell</th>
<th><a href="javascript:Sort(12);">Pin Number</a></th>
<th align="center">Pin Type</th>
<th align="center">Pin Use</th>
</tr>
<tr>
<td width="60%">HZIN</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC8</td>
<td align="center">21</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">XSTALIN</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC5</td>
<td align="center">20</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

+ 40
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_01.htm View File

@ -0,0 +1,40 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="maplogic.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="mapin" class="pgRef"><h3 align="center">Inputs</h3>
<table width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="60%"><a href="javascript:Sort(10);">Signal Name</a></th>
<th><a href="javascript:Sort(11);">Function Block</a></th>
<th align="center">Macrocell</th>
<th><a href="javascript:Sort(12);">Pin Number</a></th>
<th align="center">Pin Type</th>
<th align="center">Pin Use</th>
</tr>
<tr>
<td width="60%">XSTALIN</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC5</td>
<td align="center">20</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">HZIN</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC8</td>
<td align="center">21</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

+ 40
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinput_02.htm View File

@ -0,0 +1,40 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="maplogic.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="mapin" class="pgRef"><h3 align="center">Inputs</h3>
<table width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="60%"><a href="javascript:Sort(10);">Signal Name</a></th>
<th><a href="javascript:Sort(11);">Function Block</a></th>
<th align="center">Macrocell</th>
<th><a href="javascript:Sort(12);">Pin Number</a></th>
<th align="center">Pin Type</th>
<th align="center">Pin Use</th>
</tr>
<tr>
<td width="60%">XSTALIN</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC5</td>
<td align="center">20</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
<tr>
<td width="60%">HZIN</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC8</td>
<td align="center">21</td>
<td align="center">I/O</td>
<td align="center">I</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

+ 147
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/mapinputdoc.htm View File

@ -0,0 +1,147 @@
<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1">
<meta name="generator" content="RoboHELP by eHelp Corporation - www.ehelp.com">
<meta name="generator-major-version" content="0.1">
<meta name="generator-minor-version" content="1">
<meta name="filetype" content="kadov">
<meta name="filetype-version" content="1">
<meta name="page-count" content="1">
<meta name="layout-height" content="1376">
<meta name="layout-width" content="615">
<meta name="date" content="05 24, 2002 5:49:24 PM">
<meta name="GENERATOR" content="Mozilla/4.79 [en]C-CCK-MCD (Windows NT 5.0; U) [Netscape]">
<title>Mapped Inputs</title>
<!--(==============================================================)-->
<!--(Document created with RoboEditor. )============================-->
<!--(==============================================================)-->
<!--(Meta)==========================================================-->
<style>
<!--
ul.whs1 {list-style: disc;}
p.whs2 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs3 {list-style: disc;}
ul.whs4 {list-style: disc;}
p.whs5 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
p.whs6 {margin-left: 80px;}
p.whs7 {margin-left: 80px; font-weight: bold; font-family: arial, sans-serif; font-size: 10pt;}
p.whs8 {margin-left: 80px; font-weight: bold; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs9 {list-style: disc;}
--></style>
<script language="javascript" title="WebHelpInlineScript">
<!--
function reDo() {
if (innerWidth != origWidth || innerHeight != origHeight)
location.reload();
}
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
origWidth = innerWidth;
origHeight = innerHeight;
onresize = reDo;
}
//-->
</script>
<style>
<!--
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
p.WebHelpNavBar {text-align:right;}
-->
</style>
<!--(Body)==========================================================-->
</head>
<body>
<h1>
Mapped Inputs</h1>
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>Like
the <a href="maplogicdoc.htm">Mapped Logic</a> section, the Mapped Inputs
section of the report displays a table detailing the resources allocated
by the fitter to mapped inputs.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->Again,
the table can be sorted by Signal Name, Function Block, or Pin Number by
clicking on the appropriate table headings.&nbsp;</font><!--kadov_tag{{<spaces>}}--></span><!--kadov_tag{{</spaces>}}--><!--begin!kadov{{-->
<br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><!--begin!kadov{{-->
<br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><span
style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
inputs table contains the following:&nbsp;</font></span>
<ul type="disc" class="whs1">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
input signal name&nbsp;</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
function block number - an asterisk "*" indicates a user assignment</font></span></li>
</ul>
<div class="whs2"><span style="font-weight: bold;"><b>Note:</span></b>
Clicking on the function block will provide a detailed table of all the
block's resources and a graphical display of the function block diagram
(see <a href="fbs_FBdoc.htm">Function Block Specifics</a> for more details).</div>
<ul type="disc" class="whs3">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
macrocell number</font></span></li>
</ul>
<ul type="disc" class="whs4">
<div class="whs5"><span style="font-family: arial, sans-serif; font-size: 10pt;"><span
style="font-family: arial, sans-serif; font-size: 10pt;"><span style="font-weight: bold;"><font size=-1><b>N</span></b>ote:</span>
Clicking on the underscored macrocell number will provide a graphical display
of the macrocell that looks like this:</font></span></div>
<div class="kadov-p" class="kadov-p"><script LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' width='540' height='420' border='0'>");}
else
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' style='border: none; width: 540px; height: 420px; float: none;' width='540' height='420' border='0'>");}
//--></script>
</div>
<div class="kadov-p" class="kadov-p"></div>&nbsp;
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
pin number - an asterisk "*" indicates a user assignment</font></span></li>
</ul>
<div class="whs6"><span style="font-weight: bold;"><b>Note:</span><span
style="font-family: arial, sans-serif; font-size: 10pt;"></b><font size=-1>
Clicking on the underscored pin number will provide the pin layout diagram
for the highlighted pin.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->Rolling
your mouse over the colored pin will pop up a tooltip with the signal name
assigned to the pin, the I/O standard,&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->the
I/O style, the slew rate, and/or any constraints assigned to the pin:</font></span></div>
<p class="whs7"><script LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' width='309' height='312' border='0'>");}
else
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' style='border: none; width: 309px; height: 312px; float: none;' width='309' height='312' border='0'>");}
//--></script>
<div class="whs8"></div>&nbsp;
<ul type="disc" class="whs9">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
pin type</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
pin use&nbsp;</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
I/O standard</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
I/O style</font></span></li>
</ul>
</body>
</html>

+ 23
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic.js View File

@ -0,0 +1,23 @@
function showFB(fb) { parent.leftnav.showFB(fb); }
function showMC(mc) { parent.leftnav.showAppletMC(mc); }
function showEqn(sig) { parent.leftnav.showEqn(sig); }
function showPin(pin) { parent.leftnav.showAppletPin(pin); }
function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); }
function showTop() { parent.leftnav.showTop(); }
function Sort(x) {
switch (x) {
case 0: parent.leftnav.showMappedLogics(0); break;
case 1: parent.leftnav.showMappedLogics(2); break;
case 2: parent.leftnav.showMappedLogics(4); break;
case 10: parent.leftnav.showMappedInputs(0); break;
case 11: parent.leftnav.showMappedInputs(2); break;
case 12: parent.leftnav.showMappedInputs(4); break;
case 20: parent.leftnav.showUnMappedLogics(0); break;
case 21: parent.leftnav.showUnMappedLogics(2); break;
case 22: parent.leftnav.showUnMappedLogics(4); break;
case 30: parent.leftnav.showUnMappedInputs(0); break;
case 31: parent.leftnav.showUnMappedInputs(2); break;
case 32: parent.leftnav.showUnMappedInputs(4); break;
}
}

+ 575
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_00.htm View File

@ -0,0 +1,575 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="maplogic.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="maplog" class="pgRef"><h3 align="center">Logic</h3>
<table width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="28%"><a href="javascript:Sort(0);">Signal Name</a></th>
<th align="center">Total Pterms</th>
<th align="center">Total Inputs</th>
<th><a href="javascript:Sort(1);">Function Block</a></th>
<th align="center">Macrocell</th>
<th align="center">Power Mode</th>
<th align="center">Slew Rate</th>
<th><a href="javascript:Sort(2);">Pin Number</a></th>
<th align="center">Pin Type</th>
<th align="center">Pin Use</th>
<th align="center">Reg Init State</th>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;0&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC6</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">41</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;1&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">42</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;2&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">43</td>
<td align="center">I/O/GCK1</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;3&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">44</td>
<td align="center">I/O/GCK2</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;4&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">1</td>
<td align="center">I/O/GCK3</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;5&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">2</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;6&gt;</a></td>
<td align="center">5</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">3</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;7&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC2</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">5</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('TX')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TX</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC5</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">6</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('alreadystoredcnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">alreadystoredcnt&lt;0&gt;</a></td>
<td align="center">3</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;0&gt;</a></td>
<td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;10&gt;</a></td>
<td align="center">5</td>
<td align="center">15</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">38</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;11&gt;</a></td>
<td align="center">5</td>
<td align="center">16</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;12&gt;</a></td>
<td align="center">5</td>
<td align="center">17</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">37</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;1&gt;</a></td>
<td align="center">5</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC12</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;2&gt;</a></td>
<td align="center">5</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">12</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;3&gt;</a></td>
<td align="center">5</td>
<td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">36</td>
<td align="center">I/O/GTS1</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;4&gt;</a></td>
<td align="center">5</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;5&gt;</a></td>
<td align="center">5</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC12</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;6&gt;</a></td>
<td align="center">5</td>
<td align="center">11</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">34</td>
<td align="center">I/O/GTS2</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;7&gt;</a></td>
<td align="center">5</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;8&gt;</a></td>
<td align="center">5</td>
<td align="center">13</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">33</td>
<td align="center">I/O/GSR</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;9&gt;</a></td>
<td align="center">5</td>
<td align="center">14</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">32</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('resetclk0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">resetclk&lt;0&gt;</a></td>
<td align="center">2</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">14</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta13_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;13&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta14_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;14&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta15_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;15&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta16_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;16&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">27</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta17_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;17&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">23</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta18_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;18&gt;</a></td>
<td align="center">6</td>
<td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;1&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">13</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;2&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;3&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;4&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">16</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;5&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">18</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;6&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">28</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;0&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;1&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">8</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;2&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">7</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;3&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC7</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;4&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC6</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartskip0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartskip&lt;0&gt;</a></td>
<td align="center">3</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

+ 575
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_01.htm View File

@ -0,0 +1,575 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="maplogic.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="maplog" class="pgRef"><h3 align="center">Logic</h3>
<table width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="28%"><a href="javascript:Sort(0);">Signal Name</a></th>
<th align="center">Total Pterms</th>
<th align="center">Total Inputs</th>
<th><a href="javascript:Sort(1);">Function Block</a></th>
<th align="center">Macrocell</th>
<th align="center">Power Mode</th>
<th align="center">Slew Rate</th>
<th><a href="javascript:Sort(2);">Pin Number</a></th>
<th align="center">Pin Type</th>
<th align="center">Pin Use</th>
<th align="center">Reg Init State</th>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;0&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC6</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">41</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;1&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">42</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;2&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">43</td>
<td align="center">I/O/GCK1</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;3&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">44</td>
<td align="center">I/O/GCK2</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;4&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">1</td>
<td align="center">I/O/GCK3</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;5&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">2</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;6&gt;</a></td>
<td align="center">5</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">3</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;9&gt;</a></td>
<td align="center">5</td>
<td align="center">14</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">32</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;8&gt;</a></td>
<td align="center">5</td>
<td align="center">13</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">33</td>
<td align="center">I/O/GSR</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;7&gt;</a></td>
<td align="center">5</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;6&gt;</a></td>
<td align="center">5</td>
<td align="center">11</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">34</td>
<td align="center">I/O/GTS2</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;5&gt;</a></td>
<td align="center">5</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC12</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;4&gt;</a></td>
<td align="center">5</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;3&gt;</a></td>
<td align="center">5</td>
<td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">36</td>
<td align="center">I/O/GTS1</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;12&gt;</a></td>
<td align="center">5</td>
<td align="center">17</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">37</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;11&gt;</a></td>
<td align="center">5</td>
<td align="center">16</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;10&gt;</a></td>
<td align="center">5</td>
<td align="center">15</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">38</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta13_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;13&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('alreadystoredcnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">alreadystoredcnt&lt;0&gt;</a></td>
<td align="center">3</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;7&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC2</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">5</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartskip0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartskip&lt;0&gt;</a></td>
<td align="center">3</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;0&gt;</a></td>
<td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('TX')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TX</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC5</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">6</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;4&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC6</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;3&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC7</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;2&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">7</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;1&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">8</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;0&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;2&gt;</a></td>
<td align="center">5</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">12</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;1&gt;</a></td>
<td align="center">5</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC12</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;2&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;1&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">13</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('resetclk0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">resetclk&lt;0&gt;</a></td>
<td align="center">2</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">14</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;5&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">18</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;4&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">16</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;3&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta14_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;14&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta18_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;18&gt;</a></td>
<td align="center">6</td>
<td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta17_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;17&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">23</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta16_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;16&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">27</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;6&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">28</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta15_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;15&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

+ 626
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogic_02.htm View File

@ -0,0 +1,626 @@
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<script src="maplogic.js"></script><link rel="stylesheet" type="text/css" href="style.css">
</head>
<body class="pgBgnd" id="XC9572XL">
<span id="maplog" class="pgRef"><h3 align="center">Logic</h3>
<table width="90%" border="1" cellpadding="0" cellspacing="0">
<tr class="pgHeader">
<th width="28%"><a href="javascript:Sort(0);">Signal Name</a></th>
<th align="center">Total Pterms</th>
<th align="center">Total Inputs</th>
<th><a href="javascript:Sort(1);">Function Block</a></th>
<th align="center">Macrocell</th>
<th align="center">Power Mode</th>
<th align="center">Slew Rate</th>
<th><a href="javascript:Sort(2);">Pin Number</a></th>
<th align="center">Pin Type</th>
<th align="center">Pin Use</th>
<th align="center">Reg Init State</th>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;4&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">1</td>
<td align="center">I/O/GCK3</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;5&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">2</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;6&gt;</a></td>
<td align="center">5</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">3</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;7&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC2</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">5</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('TX')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">TX</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC5</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">6</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;2&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">7</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;1&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">8</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;2&gt;</a></td>
<td align="center">5</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">12</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;1&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">13</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('resetclk0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">resetclk&lt;0&gt;</a></td>
<td align="center">2</td>
<td align="center">3</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">14</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;4&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">16</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;5&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">18</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta17_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;17&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">23</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta16_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;16&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">27</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;6&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">28</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;9&gt;</a></td>
<td align="center">5</td>
<td align="center">14</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">32</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;8&gt;</a></td>
<td align="center">5</td>
<td align="center">13</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">33</td>
<td align="center">I/O/GSR</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;6&gt;</a></td>
<td align="center">5</td>
<td align="center">11</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">34</td>
<td align="center">I/O/GTS2</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;3&gt;</a></td>
<td align="center">5</td>
<td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC14</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">36</td>
<td align="center">I/O/GTS1</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;12&gt;</a></td>
<td align="center">5</td>
<td align="center">17</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC15</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">37</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;10&gt;</a></td>
<td align="center">5</td>
<td align="center">15</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC17</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center">38</td>
<td align="center">I/O</td>
<td align="center">(b)</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;0&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC6</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">41</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;1&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC8</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">42</td>
<td align="center">I/O</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;2&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC9</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">43</td>
<td align="center">I/O/GCK1</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('LED3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">LED&lt;3&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB1')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">MC11</td>
<td align="center">STD</td>
<td align="center">FAST</td>
<td align="center">44</td>
<td align="center">I/O/GCK2</td>
<td align="center">O</td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;7&gt;</a></td>
<td align="center">5</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;5&gt;</a></td>
<td align="center">5</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC12</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;4&gt;</a></td>
<td align="center">5</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;11&gt;</a></td>
<td align="center">5</td>
<td align="center">16</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC16</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta13_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;13&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB2')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('alreadystoredcnt0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">alreadystoredcnt&lt;0&gt;</a></td>
<td align="center">3</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartskip0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartskip&lt;0&gt;</a></td>
<td align="center">3</td>
<td align="center">7</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC3</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;0&gt;</a></td>
<td align="center">3</td>
<td align="center">5</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC4</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;4&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC6</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;3&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC7</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('uartctr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">uartctr&lt;0&gt;</a></td>
<td align="center">4</td>
<td align="center">12</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC10</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">T</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('clkcounta1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">clkcounta&lt;1&gt;</a></td>
<td align="center">5</td>
<td align="center">6</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC12</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;2&gt;</a></td>
<td align="center">6</td>
<td align="center">9</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;3&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB3')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta14_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;14&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC1</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta18_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;18&gt;</a></td>
<td align="center">6</td>
<td align="center">8</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC13</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
<tr>
<td width="28%"><a href="javascript:showEqn('storecounta15_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">storecounta&lt;15&gt;</a></td>
<td align="center">7</td>
<td align="center">10</td>
<td align="center"><a href="javascript:showFB('FB4')" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">MC18</td>
<td align="center">STD</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">(b)</td>
<td align="center">(b)</td>
<td align="center">D</td>
<td align="center"> </td>
<td align="center"> </td>
<td align="center">RESET</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="right">
<input type="button" onclick="javascript:showLegend('logiclegend.htm')" onmouseover="window.status='show Legend'; return true;" onmouseout="window.status=''" value="legend"><input type="button" onclick="window.print()" onmouseover="window.status='print page'; return true;" onmouseout="window.status=''" value="print page">
</td>
</tr></table></span></form>
</body>
</html>

+ 167
- 0
60hz_Divider/code/xilinx/cpld_countertest10/counta_html/fit/maplogicdoc.htm View File

@ -0,0 +1,167 @@
<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
<html>
<head>
<meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1">
<meta name="generator" content="RoboHELP by eHelp Corporation - www.ehelp.com">
<meta name="generator-major-version" content="0.1">
<meta name="generator-minor-version" content="1">
<meta name="filetype" content="kadov">
<meta name="filetype-version" content="1">
<meta name="page-count" content="1">
<meta name="layout-height" content="1506">
<meta name="layout-width" content="639">
<meta name="date" content="05 24, 2002 5:49:09 PM">
<meta name="GENERATOR" content="Mozilla/4.79 [en]C-CCK-MCD (Windows NT 5.0; U) [Netscape]">
<title>Mapped Logic</title>
<!--(==============================================================)-->
<!--(Document created with RoboEditor. )============================-->
<!--(==============================================================)-->
<!--(Meta)==========================================================-->
<style>
<!--
ul.whs1 {list-style: disc;}
p.whs2 {margin-left: 80px;}
ul.whs3 {list-style: disc;}
p.whs4 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs5 {list-style: disc;}
p.whs6 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
p.whs7 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs8 {list-style: disc;}
p.whs9 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
p.whs10 {margin-left: 80px; font-family: arial, sans-serif; font-size: 10pt;}
ul.whs11 {list-style: disc;}
--></style>
<script language="javascript" title="WebHelpInlineScript">
<!--
function reDo() {
if (innerWidth != origWidth || innerHeight != origHeight)
location.reload();
}
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
origWidth = innerWidth;
origHeight = innerHeight;
onresize = reDo;
}
//-->
</script>
<style>
<!--
div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
p.WebHelpNavBar {text-align:right;}
-->
</style>
<!--(Body)==========================================================-->
</head>
<body>
<h1>
Mapped Logic</h1>
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
Mapped Logic section provides a table listing resources allocated by the
fitter to mapped logic. The page will appear in your browser sorted by
Signal Name, but you can choose to sort it by Signal Name, Function Block,
and Pin Number by clicking on the appropriate table headers.&nbsp;</font></span><!--begin!kadov{{-->
<br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><!--begin!kadov{{-->
<br><!--}}end!kadov--><!--kadov_tag{{<implicit_p>}}--><span
style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
Mapped Logic table contains the following:&nbsp;</font></span>
<ul type="disc" class="whs1">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
output signal name&nbsp;</font></span></li>
</ul>
<div class="whs2"><span style="font-weight: bold;
font-family: arial, sans-serif;
font-size: 10pt;"><font size=-1><b>Note:</span><span
style="font-family: arial, sans-serif; font-size: 10pt;"></b>
Clicking on the signal name will open a new window with the equations for
that signal.&nbsp;</font></span></div>
<ul type="disc" class="whs3">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
total number of product terms&nbsp;</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
number of signals used&nbsp;</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
function block number - an asterisk "<b>*</b>" indicates a user assignment&nbsp;</font></span></li>
</ul>
<div class="whs4"><span style="font-weight: bold;"><b>Note:</span></b>
Clicking on the function block will provide a detailed table of all the
block's resources and a graphical display of the function block diagram
(see <a href="fbs_FBdoc.htm">Function Block Specifics</a> for more details).</div>
<ul type="disc" class="whs5">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
macrocell number</font></span></li>
</ul>
<div class="whs6"><span style="font-weight: bold;"><b>Note:</span></b>
Clicking on the underscored macrocell number will provide a graphical display
of the macrocell that looks like this:</div>
<p class="whs7"><script LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' width='540' height='420' border='0'>");}
else
{ document.write("<img src='macrocell.gif' x-maintain-ratio='TRUE' style='border: none; width: 540px; height: 420px; float: none;' width='540' height='420' border='0'>");}
//--></script>
.
<ul type="disc" class="whs8">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
slew rate</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
pin number - an asterisk "<b>*</b>" indicates a user assignment&nbsp;</font></span></li>
</ul>
<div class="whs9"><span style="font-weight: bold;"><b>Note:</span></b>
Clicking on the underscored pin number will provide the pin layout diagram
for the highlighted pin.&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->Rolling
your mouse over the colored pin will pop up a tooltip with the signal name
assigned to the pin, the I/O standard,&nbsp;<!--kadov_tag{{<spaces>}}--><!--kadov_tag{{</spaces>}}-->the
I/O style, the slew rate, and/or any constraints assigned to the pin:</div>
<p class="whs10"><script LANGUAGE="JavaScript"><!--
if (navigator.appName=="Netscape")
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' width='309' height='312' border='0'>");}
else
{ document.write("<img src='pin.gif' x-maintain-ratio='TRUE' style='border: none; width: 309px; height: 312px; float: none;' width='309' height='312' border='0'>");}
//--></script>
<ul type="disc" class="whs11">
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
pin type</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
pin use</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
input register use</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
I/O standard</font></span></li>
<li class="kadov-p" class="kadov-p">
<span style="font-family: arial, sans-serif; font-size: 10pt;"><font size=-1>The
I/O style</font></span></li>
</ul>
</body>
</html>

Some files were not shown because too many files changed in this diff

Loading…
Cancel
Save